集成电路设计自动化范例6篇

前言:中文期刊网精心挑选了集成电路设计自动化范文供你参考和学习,希望我们的参考范文能激发你的文章创作灵感,欢迎阅读。

集成电路设计自动化

集成电路设计自动化范文1

“电子设计自动化”课程教学效果直接影响着人才培养的质量,因此,优秀的教学方法和教学质量是教学过程中必须重视的。笔者根据近几年的教学经历,总结经验,开拓创新,形成了一套特有的教学方法,旨在培养出基础牢、思路清、知识广、能力强的电子设计自动化人才。

一、“电子设计自动化”课程教学的特点

电子设计自动化是一个较为宽泛的概念,它涵盖了电路设计、电路测试与验证、版图设计、PCB板开发等各个不同的应用范围。而当前“电子设计自动化”课程设置多数侧重电路设计部分,即采用硬件描述语言设计数字电路。因此,该课程的教学具非常突出的特点。

1.既要有广度,又要有深度

有广度即在教学过程中需要把电子设计自动化所包含的各个不同的应用环节都要让学生了解,从而使学生从整个产业链的角度出发,把握电子设计自动化的真正含义,以便于他们建立起一个全局概念。有深度即在教学过程中紧抓电路设计这个重点,着重讲解如何使用硬件描述语言设计硬件电路,使学生具备电路设计的具体技能,并能够应用于实践和工作当中。

2.突出硬件电路设计的概念

在众多高校开设的“电子设计自动化”课程中,多数是以硬件描述语言VHDL作为学习重点的。而VHDL语言是一门比较特殊的语言,与C语言、汇编语言等存在很大的不同。因此,在教学过程中首先要让学生明白这门语言与前期所学的其他语言的区别,并通过实例,如CPU的设计及制造过程,让学生明白VHDL等硬件描述语言的真正用途,并将硬件电路设计的概念贯穿整个教学过程。

3.理论与实践并重

“电子设计自动化”是一门理论性与实践性都很强的课程,必须两者并重,才能收到良好的教学效果。在理论学习中要突显语法要点和电路设计思想,[2]并通过实践将这些语法与设计思想得以加强和巩固,同时在实践中锻炼学生的创新能力。

二、“电子设计自动化”课程教学方法总结

良好的教学方法能起到事半功倍的效果。因此,针对“电子设计自动化”课程的教学特点,笔者根据近几年的教学经验总结了一些行之有效的教学方法。

1.以生动的形式带领学生进入电子设计自动化的世界

电子设计自动化对学生来说是一个全新的概念。如何让他们能够快速地进入到这个世界中,并了解这个世界的大概,从而对这个领域产生兴趣,是每个老师在这门课授课之前必须要做的一件事情。教师可以采用一些现代化的多媒体授课技术,让学生更直观地了解电子设计自动化。由于电子设计自动化是一个很抽象的概念,因此,可以通过播放视频、图片等一些比较直观的内容来让学生了解这个领域。从学生最熟悉的电脑CPU引入,通过一段“CPU从设计到制造过程”的视频,让学生了解集成电路设计与制造的流程与方法,并引出集成电路这个概念。通过早期的集成电路与现在的集成电路的图片对比,引出EDA的概念,并详细讲解EDA对于集成电路行业的发展所作的巨大贡献。在教学过程中,通过向学生介绍一些使用EDA技术实现的当前比较主流的产品及其应用,提高学生对EDA的具体认识。这些方法不仅使学生对EDA相关的产业有了相应的了解,更激发了学生的学习兴趣,使学生能够踊跃地投入到“电子设计自动化”的学习中。

2.以实例展开理论教学

“电子设计自动化”的学习内容包含三大部分:[3]硬件描述语言(以VHDL语言为学习对象)、开发软件(以QUARTUSII为学习对象)和实验用开发板(以FPGA开发板为学习对象)。硬件描述语言的学习属于理论学习部分,是重中之重。对于一门编程语言的学习来说,语法和编程思想是学习要点。在传统的编程语言学习的过程中,通常都是将语法作为主线,结合语法实例逐渐形成编程思想。这种学习方法会使学生陷入到学编程语言就是学习语法的误区中,不仅不能学到精髓,还会因为枯燥乏味而产生厌倦感。如何能使学生既能掌握电路设计的方法,又轻松掌握语法规则是一个教学难题。笔者改变传统观念,将编程思想的学习作为教学主线,在理论学习过程中,以具体电路实例为基础,引导学生从分析电路的功能入手,熟悉将电路功能转换为相应的程序语句的过程,并掌握如何将这些语句按照规则组织成一个完整无误的程序。在此过程中,不断引入新的语法规则。由于整个过程中学生的思考重点都放在电路功能的实现上,而语法的学习就显得不那么突兀,也不会产生厌倦感。由于语法时刻都需要用到且容易忘记,因此在后期的实例讲解过程中需要不断地巩固之前所学过的语法现象,以避免学生遗忘,以此让学生明白,学习编程语言的真正目的是为了应用于电路设计。通过一些实践,学生体会到语言学习的成就感,进一步提高了学习兴趣,此方法收到了良好的教学效果。

3.将硬件电路设计的概念贯穿始终

硬件描述语言与软件语言有本质区别。很多学生由于不了解硬件描述语言的特点,在学习过程中很容易将之前所学的C语言等软件编程语言的思维惯性的应用于VHDL语言的学习过程中,这对于掌握硬件电路设计的实质有非常大的阻碍。因此,在教学过程中,从最初引入到最后设计电路,都要始终将硬件电路设计的概念和思维方式贯穿其中。在讲述应用实例时,需要向学生分析该例中的语句和硬件电路的关系,并强调这些语句与软件语言的区别。以if语句为例,在VHDL语言中,if语句的不同应用可以产生不同的电路结构。完整的if语句产生纯组合电路,不完整的if语句将产生时序电路,如果应用不当,会在电路中引入不必要的存储单元,增加电路模块,耗费资源。[4]而对于软件语言,并没有完整if语句与不完整if语句之分。为了让学生更深刻地理解不同的if语句对应的硬件电路结构特性,可以通过一个小实例综合之后的电路结构图来说明。

如以下两个程序:(1)entitymuxabisport(a,b:inbit;y:outbit);end;architecturebehaveofmuxabisbeginprocess(a,b)beginifa>btheny<='1';elsifa<btheny<='0';endif;endprocess;end;(2)entitymuxabisport(a,b:inbit;y:outbit);end;architecturebehaveofmuxabisbeginprocess(a,b)beginifa>btheny<='1';elsey<='0';endif;endprocess;end;(1)(2)两个程序唯一的不同点在于:程序(1)中使用的是elsif语句,是一个不完整的if语句描述,而程序(2)使用的是else语句,是一个完整的if语句描述。这一条语句的区别却决定了两个程序的电路结构有很大的不同。(1)综合的结果是一个时序电路,电路结构复杂,如图1所示。而(2)综合的结果是一个纯组合电路,电路结构非常简单,如图2所示。通过综合后的电路图比较,学生更深刻理解这两类语句的区别。强化硬件电路设计的思想,可以促使学生逐渐形成一种规范、高效、资源节约的设计风格,培养一个优秀的硬件电路设计工程师。

4.通过实践拓展强化学生动手能力

“电子设计自动化”是一门实用性很强的课程,学生在学完该课程后必须具备一定的硬件电路设计和调试的能力,因此在教学中需要不断地用实践训练来强化学生在课堂所学习的理论知识,并使他们达到能够独立设计较复杂硬件电路的能力。笔者在教学过程中鼓励学生将课程实践和毕业设计内容相结合的方法,让学生强化实践能力,收到了良好的效果。学习“电子设计自动化”课程的学生基本上都是即将进入大四,此时他们的毕业设计已经开始进入选题,开始了初步设计的过程。笔者先在实验课堂向学生布置一些常用硬件电路设计的题目,比如交通灯、自动售货机、电梯控制器等,让学生体会电子设计自动化课程的实用性,激发他们的思考和学习兴趣。在此基础上分组组建实践小团队,让每组学生共同完成一个较复杂的电路系统,比如遥控小车、温度测控系统等,鼓励他们将所做的内容与毕业设计对接。其中大部分同学通过这些训练都可以掌握硬件电路设计的基本方法和流程,有一部分同学还能设计出比较出色的作品。此过程不仅让学生体会到了学习知识的快乐,也培养了他们的团队协作精神,为他们以后的继续深造和工作做了铺垫。

集成电路设计自动化范文2

【关键词】EDA技术;QuartusⅡ;电子设计;VHDL

1.引言

集成电路设计不断向超大规模、低功率、超高速方向发展,其核心技术是基于EDA技术的现代电子设计技术。EDA(Electronic Design Automation,电子设计自动化)技术,以集成电路设计为目标,以可编程逻辑器件(如CPLD、FPGA)为载体,以硬件描述语言(VHDL、VerilogHDL)为设计语言,以EDA软件工具为开发环境,利用强大计算机技术来辅助人们自动完成逻辑化和仿真测试,直到既定的电子产品的设计完成。其融合了,大规模集成电路制造技术、计算机技术、智能化技术,可以进行电子电路设计、仿真,PCB设计,CPLD/FPGA设计等。简言之,EDA技术可概括为在开发软件(本文用QuartusⅡ)环境里,用硬件描述语言对电路进行描述,然后经过编译、仿真、修改环节后,最终下载到设计载体(CPLD、FPGA)中,从而完成电路设计的新技术。

以EDA技术为核心的现代电子设计方法和传统的电子设计方法相比有很大的优点,两种设计方法的流程如下图:

图1 传统电子设计流程图

图2 基于EDA的现代电子设计流程图

比较两种设计方法,基于EDA技术的现在电子设计方法采用自上而下的设计方法,系统设计的早期便可进行逐层仿真和修改,借助计算机平台,降低了电路设计和测试的难度,极大程度地缩短了电子产品的设计周期、节约了电子产品的设计成本。DEA技术极大的促进了现代电子技术的发展,已成为现代电子技术的核心。

2.QuartusⅡ软件开发环境介绍

QuartusⅡ软件是Alter公司开发的综合性EDA工具软件,提供了强大的电子设计功能,充分发挥了FPGA、CPLD和结构化ASIC的效率和性能,包含自有的综合器及仿真器,支持原理图、VHDL、VerilogHDL等多种设计输入,把设计、布局布线和验证功能以及第三方EDA工具无缝的集成在一起。QuartusⅡ与Alter公司的上一代设计工具MAX+plusⅡ具有一定的相似性,和继承性。使熟悉MAX+plusⅡ开发环境的设计人员可以快速熟练应用。相比之下,QuartusⅡ软件功能更为强大、设计电路更为便捷,支持的器件更多。增强了自动化程度,缩短了编译时间,提升了调试效率。从而缩短了电子产品的设计周期。利用QuartusⅡ软件进行电子电路设计流程如图3所示。

图3 QuartusⅡ设计流程图

3.在QuartusⅡ环境下的EDA方法设计实例

下面本文在QuartusⅡ环境下,以下降沿D触发器的设计为例来说明基于EDA技术的现代电子设计方法(本文以QuartusⅡ9.0为例)。

3.1 在计算机上安装QuartusⅡ9.0版本软件

QuartusⅡ9.0对计算机硬件配置要求不高,现阶段的主流配置完全可以满足其要求。QuartusⅡ9.0安装过程很简单,按照提示操作即可。

3.2 D触发器功能分析

从D触发器真值表可以看出,当时钟信号clk不论是高电平还是低电平,其输出q的状态都保持不变,当时钟信号clk由高电平变为低电平时,输出信号q和输入信号d的状态相同。

表1 D触发器真值表

输入d 时钟clk 输出q

× 0 不变

× 1 不变

0 下降沿 0

1 下降沿 1

3.3 D触发器的VHDL描述设计

下面给出D触发器的VHDL描述:

library ieee;

use ieee.std_logic_1164.all;

entity dff1 is

port(d,clk:in std_logic;

q:out std_logic);

end dff1;

architecture bhv of dff1 is

begin

process(clk)

begin

if clk='1' then

q<=d;

end if;

end process;

end bhv;

上面程序在QuartusⅡ9.0环境下,经保存后进行编译,然后可进行波形仿真。

3.4 设计仿真

VHDL描述程序编译后,建立矢量波形文件,之后可以进行波形仿真,得到如下波形仿真图(如图4所示):

图4 D触发器仿真波形图

此仿真波形符合D触发器真值表,说明电路设计正确。如果波形仿真不符合真值表,说明电路设计有问题,此时可以回到3.3步骤修改VHDL描述程序,直至仿真结果正确为止。

波形仿真正确后,可得出相应的逻辑电路图,D触发器电路图(如图5所示)如下:

图5 D触发器逻辑电路图

3.5 配置下载测试

整个电路设计、编译仿真无误后,按照FPGA开发板说明书进行引脚锁定,重新进行编译后,然后通过下载电缆线,将产生的sof文件下载至FPGA中,对电路进行测试、验证,完成电路的最终设计。

4.结束语

本文以QuartusⅡ开发环境下的实际电路设计为例,介绍了基于EDA技术的现代电子设计方法。通过设计过程可知,DEA技术在现代电子电路设计中的重要性。在电子技术飞速发展的信息时代,EDA技术也在不断发展。电子产品设计者有必要熟练掌握硬件描述语言、可编程逻辑器件以及各种主流软件开发环境,这样才可以在最短的时间内完成高质量的电子产品设计任务。

参考文献

[1]阎石.数字电子技术基础[M].北京:高等教育出版社(第五版),2006.

[2]刘江海.EDA技术[M].武汉:华中科技大学出版社,2009.

集成电路设计自动化范文3

关键词:EDA 数字系统 CPLD VHDL

电子设计的必由之路是数字化,这已成为共识。在数字化的道路上,我国的电子技术经历了一系列重大的变革。从应用小规模集成电路构成电路系统,到广泛地应用微控制器或单片机(MCU),在电子系统设计上发生了具有里程碑意义的飞跃。电子产品正在以前所未有的速度进行着革新,主要表现在大规模可编程逻辑器件的广泛应用。特别在当前,半导体工艺水平已经达到深亚微米,芯片的集成高达到干兆位,时钟频率也在向干兆赫兹以上发展,数据传输位数达到每秒几十亿次,未来集成电路技术的发展趋势将是SOC(System 0h aCh5p)片上系统。从而实现可编程片上系统芯片CPU(复杂可编程逻辑器件)和5PGA(现场可编程门阵列)必将成为今后电子系统设计的一个发展方向。所以电子设计技术发展到今天,又将面临另一次更大意义的突破,5PGA在EDA(电子设计自动化)基础上的广泛应用。

EDA技术的概念: EDA是电子设计自动化(E1echonics Des5p AM·toM60n)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义。但从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片。EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有30多年的历程。大致可以分为三个发展阶段。20世纪70年代的CAD(计算机辅助设计)阶段:这一阶段的主要特征是利用计算机辅助进行电路原理图编辑,PCB布同布线,使得设计师从传统高度重复繁杂的绘图劳动中解脱出来。20世纪80年代的QtE(计算机辅助工程设计)阶段:这一阶段的主要特征是以逻辑摸拟、定时分析、故障仿真、自动布局布线为核心,重点解决电路设计的功能检测等问题,使设计而能在产品制作之前预知产品的功能与性能。20吐纪如年代是EDA(电子设计自动化)阶段:这一阶段的主要特征是以高级描述语言,系统级仿真和综合技术为特点,采用“自顶向下”的设计理念,将设计前期的许多高层次设计由EDA工具来完成。EDA是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使设计更复杂的电路和系统成为可能。在原理图设计阶段,可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图:在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板。特别是支持硬件描述语言的EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。有专家认为,21世纪将是四A技术的高速发展期,EDA技术将是对21世纪产生重大影响的十大技术之一。

EDA技术的基本特征:EDA代表了当今电子设计技术的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在汁算机上自动处理完成。设计者采用的设计方法是一种高层次的”自顶向下”的全新设计方法,这种设汁方法首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错.并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行驶证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路(ASIC)。设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次性成功率。 由于现代电子产品的复杂度和集成度的日益提高,一般分离的中小规模集成电路组合已不能满足要求,电路设计逐步地从中小规模芯片转为大规模、超大规模芯片,具有高速度、高集成度、低功耗的可编程朋IC器件已蓬勃发展起来。在EDA技术中所用的大规模、超大规模芯片被称为可编程ASIC芯片,这些可编程逻辑器件自70年代以来,经历了CPm、IzPGA 、CPLD、FPGA几个发展阶段,其中CPm(复杂可编程逻辑器件)/IzPGA(现场可编程逻辑器件)肩高密度可编程逻辑器件,目前集成度已高达200万门/片以上,它将掩模ASIC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易地转由掩模ASIC实现,因此开发风险也大为降低。可以说CPLE)/FPGA器件,已成为现代高层次电子设计方法的实现裁体。硬件描述语言(HDL)是EDA技术的重要组成部分,是EDA设计开发中的很重要的软件工具,VHDL即:超高速集成电路硬件描述语言,仍量凡是作为电子设计主流硬件的描述语言。它具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计较串和可靠性,用V佃L进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。例如一个32位的加法器,利用图形输入软件需要输入500至1删个门,而利用VHDL语言只需要书写一行“A=B十C”即可。使用硬件描述语言(HDL)可以用模拟仿真的方式完成以前必须设计和制作好的样机上才能进行的电子电路特性的说明和调试。能在系统行为级就发现可能出现的错误、问题,并加以多次反复修改论证,避免了物理级器件的损伤和多次制作,节约了时间和开发成本,缩短了电子系统开发的周期。将EDA技术与传统电子设计方法进行比较可以看出,传统的数字系统设计只能在电路板上进行设计,是一种搭积木式的方式,使复杂电路的设计、调试十分困难;如果某一过程存在错误.查找和修改十分不便;对于集成电路设计而言,设计实现过程与具体生产工艺直接相关,因此可移植性差;只有在设计出样机或生产出芯片后才能进行实泅,因而开发产品的周期长。而电子EDA技术则有很大不同,采用可编程器件,通过设计芯片来实现系统功能。采用硬件描述语言作为设计输入和库(LibraIy)的引入,由设计者定义器件的内部逻辑和管脚,将原来由电路板设计完成的大部分工作故在芯片的设计中进行。由于管脚定义的灵活性,大大减轻了电路图设计和电路板设计的工作量和难度,有效增强了设计的灵活性,提高了工作效率。并且可减少芯片的数量,缩小系统体积,降低能源消耗,提高了系统的性能和可靠性。能全方位地利用计算机自动设计、仿真和调试。

硬件描述语言 : 硬件描述语言(HDL)是一种用于进行电子系统硬件设计的计算机高级语言,它采用软件的设计方法来描述电子系统的逻辑功能、电路结构和连接形式。 硬件描述语言可以在三个层次上进行电路描述,其层次由高到低分为行为级、R,几级和门电路级。常用硬件描述语言有WDL、Velllq和AHDL语言。WDL语言是一种高级描述语言,适用于行为级和R,几级的描述;Vedlq语言和ABEL语言属于一种较低级的描述语言,适用于R,几级和门电路级的描述。现在WDL和Velllq作为工业标准硬件描述语言,已得到众多EDA公司的支持,在电子工程领域,它们已成为事实上的通用硬件描述语言,承担几乎全部的数字系统的设计任务。应用Vf进行电子系统设计有以下优点:(1)与其他硬件描述语言相比,WDL具有更强的行为描述能力,强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2)VHDL具有丰富的仿真语句和库函数,使得在任何大系统的设计早期就能检查设计系统的功能可行性,并可以随时对系统进行仿真。(3)Vf语句的行为描述能力和程序结构,决定了它具有支持大规模设计的分解和对已有设计的再利用功能。(4)用Vf完成的设计,可以利用EDA工具进行逻辑综合和优化,并可根据不同的目标芯片自动把Vf描述设计转变成门级网表,这种设计方式极大地减少了电路设计的时间及可能发生的错误,从而降低了开发成本。(5)Vf0L对设计的描述具有相对独立性,可以在设计者不僵硬件结构的情况下,也不必管最终设计的目标器件是什么,而进行独立的设计。(6)由于VI具有类属描述语句和子程序调用等功能,所以对于已完成的设计,可以在不改变源程序的情况厂,只需改变类属参量或函数,就能很容易地改变及计的规模和结构。

EDA技术的应用:电子EDA技术发展迅猛,逐渐在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。在教学方面:几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。主要是让学生了解EDA的基本原理和基本概念、鳞握用佃L描述系统逻辑的方法、使用扔A工具进行电子电路课程的模拟仿真实验并在作毕业设计时从事简单电子系统的设计,为今后工作打下基础。具有代表性的是全国每两年举办一次大学生电子设计竞赛活动。在科研方面:主要利用电路仿真工具(EwB或PSPICE、VLOL等)进行电路设计与仿真;利用虚拟仪器进行产品调试;将O)LI)/FPGA器件的开发应用到仪器设备中。例如在CDMA无线通信系统中,所有移动手机和无线基站都工作在相同的频谱,为区别不同的呼叫,每个手机有一个唯一的码序列,CDMA基站必须能判别这些不同观点的码序列才能分辨出不同的传呼进程;这一判别是通过匹配滤波器的输出显示在输人数据流中探调到特定的码序列;FPGA能提供良好的滤波器设计,而且能完成DSP高级数据处理功能,因而FPGA在现代通信领域方面获得广泛应用。在产品设计与制造方面:从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在P哪的制作、电子设备的研制与生产、电路板的焊接、朋比的制作过程等有重要作用。可以说电子EDA技术已经成为电子工业领域不可缺少的技术支持。

EDA技术发展趋势: EDA技术在进入21世纪后,由于更大规模的FPGA和凹m器件的不断推出,在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断更新、增加,使电子EDA技术得到了更大的发展。电子技术全方位纳入EDA领域,EDA使得电子领域各学科的界限更加模糊,更加互为包容,突出表现在以下几个方面:使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能;基于EDA工具的ASIC设计标准单元已涵盖大规模电子系统及IP核模块;软硬件IP核在电子行业的产业领域、技术领域和设计应用领域得到进一步确认;SoC高效低成本设计技术的成熟。随着半导体技术、集成技术和计算机技术的迅猛发展,电子系统的设计方法和设计手段都发生了很大的变化。可以说电子EDA技术是电子设计领域的一场革命。传统的“固定功能集成块十连线”的设计方法正逐步地退出历史舞台,而基于芯片的设计方法正成为现代电子系统设计的主流。作为高等院校有关专业的学生和广大的电子工程师了解和攀握这一先进技术是势在必行,这不仅是提高设计效率的需要,更是时展的需求,只有攀握了EDA技术才有能力参与世界电子工业市场的竞争,才能生存与发展。随着科技的进步,电子产品的更新日新月异,EDA技术作为电子产品开发研制的源动力,已成为现代电子设计的核心。所以发展EDA技术将是电子设计领域和电子产业界的一场重大的技术革命,同时也对电类课程的教学和科研提出了更深更高的要求。特别是EDA技术在我国尚未普及,掌握和普及这一全新的技术,将对我国电子技术的发展具有深远的意义。

作为一名电子硬件工程师、大专院校电子类专业的在校学生或者电子爱好者,必须掌握EIlA技术用于0U)/5PGA的开发,只有这样才能乘上现代科技的快车去适应激烈竞争的环境。在现在和未来,EDA技术主要应用于下面几个方面:1.高校电子类专业的实践教学中,如实验教学、课程设计、毕业设计、设计竞赛等均可借助凹ID/5PGA器件,既使实验设备或设计出的电子系统具有高可靠性,又经济、快速、容易实现、修改便利,同时可大大提高学生的实践动手能力、创新能力和计算机应用能力。2.科研和新产品开发中,0)U)/5PGA可直接应用于小批量产品的芯片或作为大批量产品的芯片前期开发。传统机电产品的升级换代和技术改造,0)U)/5PGA的应用可提高传统产品的性能,缩小体积,提高技术含量和产品的附加值。

参考文献:

[1] 擦光辉.CPLD/TPGA的开发与应用[M]. 北京:电于工业出版社,2002.

[2]杜玉远.EDA设计快速入门圆.电子世界,2004,(1):24

[3] ALTERA公司,DATA BOOK[M].北京:清华大学出版社,1998

[4] ALTERA公司,ADHL语言[M].北京:清华大学出版社,1998

集成电路设计自动化范文4

关键词:电气工程专业 内涵建设 改革

课题来源:2013年黑龙江省高等教育教学改革试点专项项目

课题编号:GJI201301043

TM76-4

一、电气专业的办学理由和就业分析

电气工程及其自动化专业是一个历史悠久、内涵丰富的老专业。

目前,全国已有240余所全日制本科院校和80余所经教育部批准的民办院校设有4年制本科相关专业,每年招收3万人,另外还有460所专科院校每年招收1万人。且研究生的每年招生已近万人,占本科毕业生的1/3,专科-本科-硕士-博士-博士后的完整专业教育体制相当成熟。同时,教育部和高校电类教学指导委员会正在紧锣密鼓地建立和推行专业教学的国家标准和工程教育的专业认证。

总体上电气专业的招生和就业都在所属学校中位居前列,但我们的问题是本院本专业毕业生的对口就业率仍需要提高,这里说的对口就业率提高主要是指就业层次低的同学的提高。由于部分同学专业竞争能力不够,较难拿到所期望的工作职位和待遇,因此便有部分同学只好改行从事某种本专业性质较弱的其它工作。

本专业的就业领域包括以下几个方面:

(1)在各类传统企业中从事电气设备的日常维护和技术改造,如担任设备动力部门的工程技术人员、车间设备技术员和电气设备维护人员,以及其它生产过程的运行人员。

(2)在自动化公司中从事项目开发和售后服务,如项目工程师和施工技术员、调试人员、售后工程师和项目经理。

(3)在中小微企业中研发、生产电子和电气产品,如从事产品设计和工艺的工程技术人员、生产线技术负责人或骨干、测试工程师、元件工程师、售后服务工程师等。

为了应聘这些职业,除了需要掌握必要的文化素质,还要接受基本电工理论和电工技能的训练,掌握电子电路的基本原理、制作、调试和PCB的设计方法,需要熟悉计算机的软、硬件基本技能(包括单片机应用技能和PC软件的应用方法),同时要求掌握PLC和工控设备组建、运行、调试和设计的基本方法,了解和熟悉某些测控、电力电子和电气传动的典型系统。为了适应本专业宽口径的就业特点,强调一专多能、可上可下(多次就业),以及适应现代科技发展和生产方式的学习方法。

需要指出,尽管很多同学目前掌握上面所列的基本技能要求,也有相当的差距,但总的几年大学教育过程,对其应聘和从事各类职业工作,都还是具有一定的积极意义。

二、 课程体系和能力指标

本专业将整个教学分为入门、课程和实训3个阶段。为使学生尽快安全入门电气工程专业,将编程类计算机课程尽量前移,同时专设入门课及其分组实践课培养学习骨干,并以电子技术和单片机为主要内容开展课内外科技创新活动,试图鼓励学生在学习过程中重视英语和数学知识的运用;实训则作为顶层学习的出口,分为嵌控、工控、测控和电控(电力电子与传动)4组,分别进行典型系统的综合实训、毕设和基本能力的培训验收,并倒逼传统课程的改革;而目前传统课程则尽量尊重国家电类教学指导委员会和各任课教师的不同改革举措,以不同方式反复地培养学生的基本能力和职业基础,以及适于现代社会的学习方式方法。

考虑我们学生应聘职位的入门性和实用性,而非领域专家的实际情况,本专业写入大纲的基本能力指标暂定如下:

1. 熟悉电气工程及其自动化行业,回答基本理论和安全用电的应知常识题。

2. 自选原理图分析,鉴别主要电路和元件,展示电路板的装、焊、拆、调、测能力。

3. 简单功能电路板的原理图和PCB设计能力,展示自选中英文元件资料的阅读能力。

4. 继电器和PLC等工控系统的梯形图设计能力,自选示例,并说明电气设备的安装、配线要点。

5. 自选单片机最小系统或应用系统,展示电路设计和编程能力,说明调试方法。

6. 展示基本测试仪器和软件工具的使用能力,阐述自选测试任务的工作过程。

7. 说明自选典型电力电子、电气传动产品设备或测控系统的工作原理和特点,展示综合分析能力。

三、本专业为完成人才培养计划中能力指标的具体措施

(1)开设综合技能类课程,加强技能培养

学院提出加强实践性教学非常正确,对提高学生就业率会有很大帮助。开设综合技能培训课程是加强实践性教学取得效果最好、最省时间的办法。

开设综合实践课程,让学生掌握目前电气电子行业基本技能,扩大学生就业面和提高学生的就业竞争力。高职高专类学校对这门课程非常重视对提高学生就业率效果明显,也是我校电气专业的学生就业也必须的知识。虽然有些内容部分课程中已经涉及,但学生的重视程度不够,集中授课可以达到更好的学习效果。

(2)开设《工业自动化技术》课程,提高就业竞争力

从事工业自动化类产品开发、生产、销售、技术服务是电气工程及其自动化专业的主要就业方向之一,非标准工业自动化设备开发是目前很热门的行业比如工大博实,开设这门课程可以提高知识综合运用能力,使学生掌握目前行业的主流技术,达到学习与就业接轨的目的。

(3)增加专题讲座的次数,是学校教育与行业技术对接

从大一开始每学期开设专题讲座每学期不少于三次,讲座的内容主要包括本专业的学习内容、就业形势和工作去向、目前行业中主流技术和发展趋势、本专业知识应用的典型范例、就业指导等等。让学生在学习知识的同时尽早了解和接触以后所从事的行业,扩大视野和知识面。

(4)在专业课教学过程中增加实践类教学内容的比例

在专业基础课教学过程中贯穿典型案例让学生了解所学课程的用途,提高学生的知识运用能力,摆脱学生为了考试而学习的弊端。

(5)加强校企合作,深化“应用性、职业型、开放式”人才培养模式。

为了响应学院加强企业合作,探索开放式办学的号召,我们先后在齐齐哈尔二机床、省自动化所和哈尔滨电工仪表研究所等单位合作建立实习基地和科研合作关系,也与其它一些公办民办单位进行了一些合作探讨。

现在我们计划一面尽快积极提高师生的实践能力,另一面积极发动师生继续探索适宜的企业、内容和合作方式,并重点探讨:以校区附近的工业园区为重点,在全面了解的基础上寻找合适目标;重点寻访工业机器人、电磁测量和信息处理协会、电控焊接设备、LED和光伏发电、智能电网和智能家居等领域的行业需求、就业渠道和合作伙伴;探索聘请校内外各层次专家参与实践基地建设的方式和方法。

集成电路设计自动化范文5

关键词:EDA技术;现代电子设计;应用

引言

EDA技术是上世纪90年代飞速发展起来的一项新型技术,是现代电子设计新的发展潮流,其是基于计算机工作平台,综合了计算机技术、电子技术、智能化技能等一系列技术达成电子产品的自动化设计。同时,EDA技术是当今信息化时展的必然趋势,其应用日趋广泛,涉及信息、通讯、半导体、电子零组件等多个行业,是现代电子设计的核心,在现代电子设计中发挥着至关重要的作用[1]。由此可见,对EDA技术在现代电子设计中的应用开展研究,有着十分重要的现实意义。

1 EDA技术概述

1.1 EDA技术

EDA(Electronics Design Automation),即电子设计自动化,EDA技术是现代电子技术的主要发展趋势,在电子技术、仿真模拟工作中扮演着十分重要的角色。在电子设计技术中,将可编程逻辑器件应用于系统中可很大程度提高电子设计工作灵活性,可编程逻辑期间在软件编程过程中重构器件的结构、运行方式,进一步使设计硬件灵活性得到显著改善。可编程逻辑器件应用结构原理、运行方式等的不断发展,使以往的数字系统设计理念、方法、过程等均实现了转变,一定水平上促进了现代电子技术的革新。在可编程逻辑器件相关技术越来越成熟及计算机技术飞速发展背景下,EDA技术逐渐在电子设计领域中得到广泛推广。EDA技术基于计算机上的EDA工具软件平台实现设计文件过程中依托硬件描述语言开展系统逻辑描述。EDA技术帮助设计人员通过硬件描述语言、电子设计自动化等实现对系统硬件功能的设计工作,其可自动实现逻辑分割、逻辑编译、布局布线等功能,进一步促进电子线路系统功能的全面达成[2]。

1.2 EDA技术发展

伴随计算机技术、电子系统设计技术以及集成电路技术的不断进步,为EDA技术发展创造了良好契机,EDA技术的发展、推广,不仅显著缩短了产品的开发周期,还极大水平改善了产品的性能及价格比。EDA技术发展,具体可划分成四个阶段:

(1)上世纪70年代――计算机辅助设计阶段,这一发展阶段主要体现于CAD技术方面,计算机辅助设计得到了一定的推广。人们逐步以计算机作为辅助开展IC版图编辑、PCB布局布线等工作,取代了过去的手工作业方式。于此阶段手工绘图方式得到了一定优化,进而在计算机辅助设计发展作用上得到了有效凸显。

(2)80年代――计算机辅助工程阶段,该阶段是在上一阶段基础上引入一系列新型应用功能,在具备图形绘制功能的同时,还增添了电路功能设计及结构设计,并且通过电气连接网络表实现了两者的有效结合。计算机辅助工程主要功能包括:原理图输入、逻辑仿真、自动布局布线以及电路分析等。在这一系列功能应用上,通过将原理图、逻辑图等用以重要应用内容,实现了设计功能的进一步丰富。

(3)90年代――电子系统设计自动化阶段,该阶段电子设计自动化目标得以实现,可经由高级描述语言及系统识别仿真等优势开展应用,极大水平改善了设计的效率。

(4)现代EAD技术即为将计算机作为工具,基于EDA软件平台,结合硬件描述语言实现的设计文件,可自动实现用软件方式描述的电子系统到硬件系统的逻辑仿真、布局布线、逻辑综合等,进而实现对相关目标芯片逻辑映射、适配编译等操作[3]。

2 EDA技术在现代电子设计中的应用作用及意义

2.1 EDA技术在现代电子设计中的应用作用

凭借EDA技术广泛的应用范围,将其应用于现代电子设计中,可起到一系列的作用。对于现代电子设计而言,相对流行的编程方式即为无线编程、在线编程,而EDA技术不仅能够充分适应电子设计的发展,还可促进达成无障碍编程,在编程过程中的保密性还能够得到有效保障。EDA技术还有着十分显著的可靠性,可有效解决电子设计中复位障碍、跑飞等问题。还可于集成、压缩功能应用情况下,完成对电子产品系统向某一芯片中的有效集成,如此可为设计管理实践带来极为便利,促进对电子设计风险控制工作的开展,还可使电子设计可靠性得到有效保障。除此之外,EDA技术在现代电子设计中的应用,还可收获极高的效率,可达成多任务同时运行的目的。在EDA技术应用实践中,可于多模块功能应用情况下,有效加快电子设计速度及改善子设计效率水平,推动电子设计工作进一步朝信息市场化方向发展。另外,EDA技术还具备一定的适应性,通过对其高速、高效及大容量等特点的有效成效,积极促进电子设计的创新升级。EDA技术的一系列特征优势的凸显可积极促进现代电子设计的有序发展。

2.2 EDA技术在现代电子设计中的应用意义

电子技术是一项有着极强专业性的技术,现阶段用于电子技术设计中的软件多种多样,经由选取适用的应用软件,便可有效改善电子技术设计效率。EDA技术在现代电子设计中的应用有着十分重要的意义,EDA技术是将计算机用以主要平台,然后将一系列相关技术开展综合应用。对于现代电子设计而言,EDA技术是发展的新潮流,具备各式各样优势作用发挥,将其应用于现代电子设计中可收获诸多便利。伴随EDA技术的逐步发展进步,无不为现代电子设计带来新的转变,可有效改善全面电子技术设计效率水平,因此将EDA技术应用于电子技术设计中十分重要。

3 EDA技术的要点内容

ESDA可算得上是现代电子设计的最新发展方向,可将其理解为:设计人员依据自顶向下设计方法,对全面电子系统开展方案规划及功能划分,系统的关键电路通过一片或者几片特定集成电路(ASIC)达成,然后依托硬件描述语言开展系统行为级设计,最后经由适配器、综合其得到最终目标器件。该种设计方法可称之为高层次电子设计方法。

3.1 自顶向下设计方法

对于自顶向下设计方法而言,第一步要从系统设计展开,于顶层开展功能方框图划分及结构制定。于方框图一级开展仿真、纠错,同时选取硬件描述语言对高层次系统行为开展描述,于系统一级开展验证。紧接着选取综合优化工具得出对应门电路网表,网表相关的物理实现级既可以是印刷电路板,又可以是专用集成电路。设计的主要仿真、调试过程是于高层次上实现的,如此不仅可为尽早觉察结构设计中的错误提供便利,提高设计工作效率,还可减轻逻辑功能仿真的工作量,提升系统设计一次成功率[4]。

3.2 硬件描述语言

硬件描述语言指的是一类开展电子系统硬件设计的计算机语言,其借助软件编程来对电子系统中各项内容开展有效描述,诸如电子系统的连接形式、电路结合以及逻辑功能等。近年来,在大型电子系统设计中硬件描述语言得到广泛应用。上世纪80年代美国国防部研发出高速集成电路硬件描述语言,以作用于对EDA产品不兼容问题进行解决,此外还可作用于开展多层次设计。IEEE利用高速集成电路硬件描述语言对过去硬件描述语言一系列功能予以了覆盖。IEEE作为一类全方位的硬件描述语言,其涵盖了多个设计层次,诸如逻辑门级、系统行为级以及寄存器传输等,并且还支持多种不同形式对全面项目开展混合描述。高速集成电路硬件描述语言一方面具备极佳的移植性,一方面其的设计还为工艺间转换提供了极大便利,同时高速集成电路硬件描述语言使得设计人员主要工作转变为开展实现与调试系统功能。

3.3 ASIC设计

面对电子系统集成电路中存在的各式各样问题,包括可靠性不足、功耗大以及体积大等,可于集成电路设计过程中引入ASIC芯片开展解决。伴随现代电子产品市场需求的逐步严苛,ASIC芯片可划分成全定制ASIC、半定制ASIC以及可编程ASIC。在对全定制ASIC芯片进行设计过程中,设计人员要对芯片上全面晶体管几何图形、工艺规则予以界定,然后把设计成果转交给IC生产商掩膜制造,如此可最大限度的确保ASIC芯片获取最理想的性能,进一步实现高效、高利用率以及低能耗的目的。

4 EDA技术电子设计流程

EDA技术是一项系统级的设计技术,是一类层次比较高的电子设计手段,该项应用技术基于概念驱动,确保电子设计工作人员在设计过程中无需对门级原理图开展利用,工作人员在确立设计目标后便可应用EDA技术对电路予以描述,如此一方面可有效缩减电路西决的制约,一方面可有效强化设计人员设计创造水平[5]。EDA系统支持设计人员把概念构思、高层次描述输入进计算机后,基于系统规则实现对电子产品的设计。就EDA技术电子设计流程而言,主要可划分为系y划分、图形或者VHDL输入、代码级功能仿真、适配前时序仿真及ASIC实现等,具体而言:(1)电子设计通过文本或图形编辑器对设计描述予以呈现,即为实现设计表述;(2)电子设计通过编译器对设计开展错排编译,也就是输入硬件描述语言程序;(3)设计人员对硬件、软件开展沟通,为达成功能仿真提供便利,也就是综合;(4)在仿真设计检测满意后,借助FPGA开展逻辑映射操作,即为编程下载,由此系统级设计便宣告结束。EDA技术电子设计流程,如图1所示。

5 EDA技术的应用

近年来,EDA技术得到飞速发展,在诸多领域的电子系统设计工作得到广泛推广,包括通讯、教学、医学、航天、国家计算机应用、工业生产等等,并发挥着十分重要的作用。

5.1 EDA技术在通讯中的应用

EDA技术在科研研究中的应用,主要借助电路仿真工具开展电路设计、仿真;借助虚拟设备开展产品调节试用;在仪器设备中应用FPGA器件开发。对于CDMA无线通信系统而言,全面无线基站、移动手机均于同一频谱下运行,为了对各种呼叫进行区分,各部手机均有着一个特有的码序列,CDMA基站唯有对多种观点码序列进行有效判定,方可对不同传呼进程开展分辨,而此处的判定是经由匹配滤波器输出呈现于输入数据流中探测到的特定码序列。FPGA可提供适用的滤波器设计,同时还具备DSP高级数据处理功能,所以FPGA在现代通讯领域中得到广泛推广。

5.2 EDA技术在生物医学工程中的应用

EDA技术是电子设计的重要工具,不管是芯片设计,还是系统设计,倘若未有得到EDA工具的支持,均将无法实现。近年来,生物医学工程领域对EDA技术进行了引入,该项技术一方面可促进对人体血压、心率等生理信号展开更为准确的检测,一方面可经由相关设计达成对生理信号的滤波、医学图像检测等处理,使得生理信号更具临床使用价值。所以,EDA技术在生物医学工程领域有着十分可观的发展前景。

5.3 EDA技术在产品设计、生产中的应用

无论是数字信号处理器、性能极佳的微处理器,还是电子电路、冰箱、电视机等,EDA技术不仅应用于前期计算机模拟仿真、产品调试,还应用于电子设备的研发、制造,电路板焊接等一系列环节,并在其中发挥着至关重要的作用。某种意义上而言,EDA技术已然转变成电子工业领域中必不可少的一部分。

6 结束语

总而言之,EDA技术是当今信息化时展的必然趋势,其应用日趋广泛,涉及信息、通讯、半导体、电子零组件等多个行业,是现代电子设计的核心,在现代电子设计中发挥着至关重要的作用。伴随EDA技术的日趋成熟,其将进一步推进电子产业及电子设计领域的技术变革,将进一步提升电子设计水平。鉴于此,相关人员务必要清楚认识EDA技术在现代电子设计中的应用作用及意义,强化EDA技术在现代电子设计中的科学合理应用,不断钻研研究、总结经验,积极促进电子技术设计有序发展。

参考文献

[1]李亚平,王亮亮. EDA技术及其在现代电子系统设计中的应用[J].山东师范大学学报(自然科学版),2007,22(3):124-125.

[2]张劭昀,梁佳雯,郭海双.基于EDA技术的现代电子设计方法[J].电子世界,2014(16):25-26.

[3]蔡洁华,路多,张红,等.浅谈 EDA技术发展背景及在电子线路设计中的应用[J].数字化用户,2013(14):215-216.

集成电路设计自动化范文6

关键词:ASIC 硬件描述语言HDL Verilog HDL VHDL SystemC Superlog 芯片系统SoC

引 言

硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化(EDA)工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过自动综合工具转换到门级电路网表。接下去,再用专用集成电路ASIC或现场可编程门阵列FPGA自动布局布线工具,把网表转换为要实现的具体电路布线结构。

目前,这种高层次(high-level-design)的方法已被广泛采用。据统计,目前在美国硅谷约有90%以上的ASIC和FPGA采用硬件描述语言进行设计。

硬件描述语言HDL的发展至今已有20多年的历史,并成功地应用于设计的各个阶段:建模、仿真、验证和综合等。到20世纪80年代,已出现了上百种硬件描述语言,对设计自动化曾起到了极大的促进和推动作用。但是,这些语言一般各自面向特定的设计领域和层次,而且众多的语言使用户无所适从。因此,急需一种面向设计的多领域、多层次并得到普遍认同的标准硬件描述语言。20世纪80年代后期,VHDL和Verilog HDL语言适应了这种趋势的要求,先后成为IEEE标准。

现在,随着系统级FPGA以及系统芯片的出现,软硬件协调设计和系统设计变得越来越重要。传统意义上的硬件设计越来越倾向于与系统设计和软件设计结合。硬件描述语言为适应新的情况,迅速发展,出现了很多新的硬件描述语言,像Superlog、SystemC、Cynlib C++等等。究竟选择哪种语言进行设计,整个业界正在进行激烈的讨论。因此,完全有必要在这方面作一些比较研究,为EDA设计做一些有意义的工作,也为发展我们未来的芯片设计技术打好基础。

1 目前HDL发展状况

目前,硬件描述语言可谓是百花齐放,有VHDL、Superlog、Verilog、SystemC、Cynlib C++、C Level等等。虽然各种语言各有所长,但业界对到底使用哪一种语言进行设计,却莫衷一是,难有定论。

而比较一致的意见是,HDL和C/C++语言在设计流程中实现级和系统级都具有各自的用武之地。问题出现在系统级和实现级相连接的地方:什么时候将使用中的一种语言停下来,而开始使用另外一种语言?或者干脆就直接使用一种语言?现在看来得出结论仍为时过早。

在2001年举行的国际HDL会议上,与会者就使用何种设计语言展开了生动、激烈的辩论。最后,与会者投票表决:如果要启动一个芯片设计项目,他们愿意选择哪种方案?结果,仅有2票或3票赞成使用SystemC、Cynlib和C Level设计;而Superlog和Verilog各自获得了约20票。至于以后会是什么情况,连会议主持人John Cooley也明确表示:“5年后,谁也不知道这个星球会发生什么事情。”

各方人士各持己见:为Verilog辩护者认为,开发一种新的设计语言是一种浪费;为SystemC辩护者认为,系统级芯片SoC快速增长的复杂性需要新的设计方法;C语言的赞扬者认为,Verilog是硬件设计的汇编语言,而编程的标准很快就会是高级语言,Cynlib C++是最佳的选择,它速度快、代码精简;Superlog的捍卫者认为,Superlog是Verilog的扩展,可以在整个设计流程中仅提供一种语言和一个仿真器,与现有的方法兼容,是一种进化,而不是一场革命。

当然,以上所有的讨论都没有提及模拟设计。如果想设计带有模拟电路的芯片,硬件描述语言必须有模拟扩展部分,像Verilog HDL-A,既要求能够描述门级开关级,又要求具有描述物理特性的能力。

2 几种代表性的HDL语言

2.1 VHDL

早在1980年,因为美国军事工业需要描述电子系统的方法,美国国防部开始进行VHDL的开发。1987年,由IEEE(Institute of Electrical and Electro- nics Engineers)将VHDL制定为标准。参考手册为IEEE VHDL语言参考手册标准草案1076/B版,于1987年批准,称为IEEE 1076-1987。应当注意,起初VHDL只是作为系统规范的一个标准,而不是为设计而制定的。第二个版本是在1993年制定的,称为VHDL-93,增加了一些新的命令和属性。

虽然有“VHDL是一个4亿美元的错误”这样的说法,但VHDL毕竟是1995年以前唯一制订为标准的硬件描述语言,这是它不争的事实和优势;但同时它确实比较麻烦,而且其综合库至今也没有标准化,不具有晶体管开关级的描述能力和模拟设计的描述能力。目前的看法是,对于特大型的系统级数字电路设计,VHDL是较为合适的。

实质上,在底层的VHDL设计环境是由Verilog HDL描述的器件库支持的,因此,它们之间的互操作性十分重要。目前,Verilog和VDHL的两个国际组织OVI、VI正在筹划这一工作,准备成立专门的工作组来协调VHDL和Verilog HDL语言的互操作性。OVI也支持不需要翻译,由VHDL到Verilog的自由表达。

2.2 Verilog HDL

Verilog HDL是在1983年,由GDA(GateWay Design Automation)公司的Phil Moorby首创的。Phil Moorby后来成为Verilog-XL的主要设计者和Cadence公司的第一合伙人。在1984~1985年,Phil Moorby设计出了第一个名为Verilog-XL的仿真器;1986年,他对Verilog HDL的发展又作出了另一个巨大的贡献:提出了用于快速门级仿真的XL算法。

随着Verilog-XL算法的成功,Verilog HDL语言得到迅速发展。1989年,Cadence公司收购了GDA公司,Verilog HDL语言成为Cadence公司的私有财产。1990年,Cadence公司决定公开Verilog HDL语言,于是成立了OVI(Open Verilog International)组织,负责促进Verilog HDL语言的发展。基于Verilog HDL的优越性,IEEE于1995年制定了Verilog HDL的IEEE标准,即Verilog HDL 1364-1995;2001年了Verilog HDL 1364-2001标准。在这个标准中,加入了Verilog HDL-A标准,使Verilog有了模拟设计描述的能力。

2.3 Superlog

开发一种新的硬件设计语言,总是有些冒险,而且未必能够利用原来对硬件开发的经验。能不能在原有硬件描述语言的基础上,结合高级语言C、C++甚至Java等语言的特点,进行扩展,达到一种新的系统级设计语言标准呢?

Superlog就是在这样的背景下研制开发的系统级硬件描述语言。Verilog语言的首创者Phil Moorby和Peter Flake等硬件描述语言专家,在一家叫Co-Design Automation的EDA公司进行合作,开始对Verilog进行扩展研究。1999年,Co-Design公司了SUPERLOGTM系统设计语言,同时了两个开发工具:SYSTEMSIMTM和SYSTEMEXTM。一个用于系统级开发,一个用于高级验证。2001年,Co-Design公司向电子产业标准化组织Accellera了SUPERLOG扩展综合子集ESS,这样它就可以在今天Verilog语言的RTL级综合子集的基础上,提供更多级别的硬件综合抽象级,为各种系统级的EDA软件工具所利用。

至今为止,已超过15家芯片设计公司用Superlog来进行芯片设计和硬件开发。Superlog是一种具有良好前景的系统级硬件描述语言。但是不久前,由于整个IT产业的滑坡,EDA公司进行大的整合,Co-Design公司被Synopsys公司兼并,形势又变得扑朔迷离。

2.4 SystemC

随着半导体技术的迅猛发展,SoC已经成为当今集成电路设计的发展方向。在系统芯片的各个设计中,像系统定义、软硬件划分、设计实现等,集成电路设计界一直在考虑如何满足SoC的设计要求,一直在寻找一种能同时实现较高层次的软件和硬件描述的系统级设计语言。

SystemC正是在这种情况下,由Synopsys公司和CoWare公司积极响应目前各方对系统级设计语言的需求而合作开发的。1999年9月27日,40多家世界著名的EDA公司、IP公司、半导体公司和嵌入式软件公司宣布成立“开放式SystemC联盟”。著名公司Cadence也于2001年加入了SystemC联盟。SystemC从1999年9月联盟建立初期的0.9版本开始更新,从1.0版到1.1版,一直到2001年10月推出了最新的2.0版。

3 各种HDL语言的体系结构和设计方法

3.1 SystemC

所有的SystemC都是基于C++的;图1中的上层构架都是很明确地建立在下层的基础上;SystemC内核提供一个用于系统体系结构、并行、通信和同步时钟描述的模块;完全支持内核描绘以外的数据类型、用户定义数据类型;通常的通信方式,如信号、FIFO,都可以在内核的基础上建立,经常使用的计算模块也可以在内核基础上建立;如果需要,图1中较低层的内容不依赖上层就可以直接使用。

实际使用中,SystemC由一组描述类库和一个包含仿真核的库组成。在用户的描述程序中,必须包括相应的类库,可以通过通常的ANSI C++编译器编译该程序。SystemC提供了软件、硬件和系统模块。用户可以在不同的层次上自由选择,建立自己的系统模型,进行仿真、优化、验证、综合等等。

3.2 Superlog

Superlog集合了Verilog的简洁、C语言的强大、功能验证和系统级结构设计等特征,是一种高速的硬件描述语言。其体系结构如图2。

① Verilog 95和Verilog 2K。Superlog是Verilog HDL的超集,支持最新的Verilog 2K的硬件模型。

② C和C++语言。Superlog提供C语言的结构、类型、指针,同时具有C++面对对象的特性。

③ Superlog扩展综合子集ESS。ESS提供一种新的硬件描述的综合抽象级。

④ 强大的验证功能。自动测试基准,如随机数据产生、功能覆盖、各种专有检查等。

Superlog的系统级硬件开发工具主要有Co- Design Automation公司的SYSTEMSIMTM和SYSTEMEXTM,同时可以结合其它的EDA工具进行开发。

3.3 Verilog和VHDL

这两种语言是传统硬件描述语言,有很多的书籍和资料可以查阅参考,这里不多介绍。

4 目前可取可行的策略和方式

按传统方法,我们将硬件抽象级的模型类型分为以下五种:

系统级(system)——用语言提供的高级结构实现算法运行的模型;

算法级(algorithm)——用语言提供的高级结构实现算法运行的模型;

RTL级(Register Transfer Level)——描述数据在寄存器之间流动和如何处理、控制这些数据流动的模型。(以上三种都属于行为描述,只有RTL级才与逻辑电路有明确的对应关系。)

门级(gate-level)——描述逻辑门以及逻辑门之间的连接模型。(与逻辑电路有确切的连接关系。以上四种,数字系统设计工程师必须掌握。)

开关级(switch-level)——描述器件中三极管和存储节点以及它们之间连接的模型。(与具体的物理电路有对应关系,工艺库元件和宏部件设计人员必须掌握。)

根据目前芯片设计的发展趋势,验证级和综合抽象级也有可能成为一种标准级别。因为它们适合于IP核复用和系统级仿真综合优化的需要,而软件(嵌入式、固件式)也越来越成为一个和系统密切相关的抽象级别。

目前,对于一个系统芯片设计项目,可以采用的方案包括以下几种:

① 最传统的办法是,在系统级采用VHDL,在软件级采用C语言,在实现级采用Verilog。目前,VHDL与Verilog的互操作性已经逐步走向标准化,但软件与硬件的协调设计还是一个很具挑战性的工作,因为软件越来越成为SOC设计的关键。该方案的特点是:风险小,集成难度大,与原有方法完全兼容,有现成的开发工具;但工具集成由开发者自行负责完成。

② 系统级及软件级采用Superlog,硬件级和实现级均采用Verilog HDL描述,这样和原有的硬件设计可以兼容。只要重新采购两个Superlog开发工具SYSTEMSIMTM和SYSTEMEXTM即可。该方案特点是风险较小,易于集成,与原硬件设计兼容性好,有集成开发环境。

③ 系统级和软件级采用SystemC,硬件级采用SystemC与常规的Verilog HDL互相转换,与原来的软件编译环境完全兼容。开发者只需要一组描述类库和一个包含仿真核的库,就可以在通常的ANSI C++编译器环境下开发;但硬件描述与原有方法完全不兼容。该方案特点是风险较大,与原软件开发兼容性好,硬件开发有风险。

5 未来发展和技术方向

微电子设计工业的设计线宽已经从0.25μm向 0.18μm变迁,而且正在向0.13μm和90nm的目标努力迈进。到0.13μm这个目标后,90%的信号延迟将由线路互连所产生。为了设计工作频率近2GHz的高性能电路,就必须解决感应、电迁移和衬底噪声问题(同时还有设计复杂度问题)。

未来几年的设计中所面临的挑战有哪些?标准组织怎样去面对?当设计线宽降到0.13μm,甚至更小时,将会出现四个主要的趋势:

设计再利用;

设计验证(包括硬件和软件);

互连问题将决定对时间、电源及噪声要求;

系统级芯片设计要求。

满足未来设计者需要的设计环境将是多家供应商提供解决方案的模式,因为涉及的问题面太广且太复杂,没有哪个公司或实体可以独立解决。实际上,人们完全有理由认为,对下一代设计问题解决方案的贡献,基础研究活动与独立产业的作用将同等重要。

以后,EDA界将在以下三个方面开展工作。

① 互用性标准。所有解决方案的基础,是设计工具开发过程的组件——互用性标准。我们知道,EDA工业采用的是工业上所需要的标准,而不管标准是谁制定的。但是,当今市场的迅速发展正在将优势转向那些提供标准时能做到快速适应和技术领先的组织。处于领先的公司正在有目的地向这方面投资,那些没有参加开发这些标准的公司则必须独自承担风险。

② 扩展其高级库格式(ALF)标准,使其包含物理领域的信息,是EDA开发商可以致力于解决互连问题的算法,从而使电路设计者在解决设计收尾工作时,不再受到这个问题的困扰。

③ 制定新的系统级设计语言标准。标准化系统芯片的设计工具和语言,使SoC真正达到第三次微电子设计革命浪潮。

6 国内发展的战略选择

由于目前IT行业不景气,以及ASIC设计复杂程度不断增加,各EDA公司出现了合并调整的趋势。Synopsys合了Avant!和Co-Design,Cadence合了GDA等,形成了几大巨头的局面。而各可编程器件厂商,像Xilinx和Altera,也积极与EDA紧密合作,因此,我们必须抓住这个时机,全力发展;不然,就要面对以后与垄断巨头进行竞争的事倍功半的不利局面。

针对目前硬件描述语言的发展和国家芯片制造生产的发展战略,国内如何在原EDA基础薄弱的情况下迅速发展,使EDA成为一个合理、健康而必不可少的产业;将基础研究活动与独立产业的作用合理的结合,建议开展如下方面的工作:

① 为了实现我国的芯片设计自主化,必须夯实基础,在结合VHDL的基础上,推广Verilog HDL设计语言,使硬件设计的底层单元库可以自主研制;

② 根据目前芯片系统的发展趋势,对系统级语言进行比较研究,在Suoerlog、SystemC等语言中做出选择,并进行相关工具的推广,以及与相关企业进行合作等;

③ 深入HDL语言的综合和仿真等模型的研究,努力在与国外合作的基础上,建立自主知识产权的EDA公司;

④ 积极加入EDA目前正在进行的标准化工作,做到了解、学习、应用、吸收、参与并重;