逻辑电路设计方法范例6篇

前言:中文期刊网精心挑选了逻辑电路设计方法范文供你参考和学习,希望我们的参考范文能激发你的文章创作灵感,欢迎阅读。

逻辑电路设计方法

逻辑电路设计方法范文1

【关键词】传输门逻辑;CMOS门逻辑;NMOS管;PMOS管

1.引言

21世纪是信息科学的世纪,电子科学与技术是信息科学发展的基础学科。半导体集成电路作为电子科学与技术的核心,是电子类相关专业的重要基础课程。而半导体集成电路这门课程中,静态逻辑电路[1-3]这一块又是比较重要的一部分。静态逻辑电路分为静态CMOS逻辑电路和传输门逻辑电路。现有的绝大多数教材表明传输门逻辑电路的理解设计方法和静态CMOS逻辑电路的理解设计方法是不一样的。人们总结出了的一套设计静态CMOS复合逻辑门电路的通用方法[3],其步骤如下:

(1)调整布尔代数式(也叫逻辑关系式),使得输出为负逻辑。

(2)当逻辑关系式为“或”时,PMOS管串联,NMOS管并联。

(3)当逻辑关系式为“与”时,PMOS管并联,NMOS管串联。

(4)改变尺寸可调整速度或输入阈值。而对于传输门逻辑[3],其理解和设计的方法是二叉判决图BBD。这两类方法不统一,给学生在理解和设计逻辑电路造成很大的麻烦。本论文提出一种能够简易地理解传输门逻辑和静态CMOS门逻辑的方法。运用这种方法,学生也可以简易地设计传输门和静态CMOS逻辑电路。

2.方法

下面我们介绍一下这个方法。我们这个方法分为如下三个部分:

(1)对于单个NMOS管而言,漏极输出C等于源极输入A和栅极输入B的“与”。逻辑表达式为。图1显示了单个NMOS管。根据NMOS管高电压通、低电压阻的特性,我们可以得到。

(2)对于单个PMOS管而言,漏极输出C等于源极输入A和栅极输入B的“非”的“与”。逻辑表达式为。图2显示了单个PMOS管。根据PMOS管高电压阻、低电压通的特性,我们可以得到。

(3)对于两个MOS并联而言,总的输出等于各个MOS输出的“或”。我们以两个NMOS管并联说明这种情况。图3显示了两个NMOS并联的情形。我们可以得到:

3.结果和讨论

(1)我们先用上述的方法来理解静态CMOS逻辑电路和传输门逻辑电路。

首先看CMOS反相器,图4显示了CMOS反相器的示意图。从图4中我们可以看出对于输出VOUT,PMOS管和NMOS管是并联的关系。利用上述的方法,我们可以得到PMOS管和NMOS管的漏极分别为和,所以。可以看出我们的方法对于理解简单的CMOS反相器逻辑是适合的。

我们再来理解一个复杂一点的两输入的异或门静态CMOS逻辑电路。图5显示了静态CMOS异或门逻辑电路示意图。运用我们的方法,我们可以得出:对于P网,有、、、。对于N网,有、、、、。所以,。可以看出我们的方法能够简单的理解较为复杂的两输入静态CMOS异或门逻辑电路。我们有理由相信对于理解更为复杂的静态CMOS逻辑电路,我们的方法同样适用。

理解完了静态CMOS逻辑电路,我们在来看看传输门逻辑电路。图6显示了一个基于CMOS传输门构成的同或门逻辑电路。运用我们的方法,我们可以得出:、、、、、、。因为前面我们已经理解了CMOS反相器,所以图6中我们直接用反相器逻辑功能。可以看出我们的方法可以很简单的理解传输门逻辑电路。从图6我们也可以看出,对与CMOS传输门,其逻辑功能跟随NMOS传输门。

我们再来理解一个全加器中静态的曼彻斯特进位电路。图6显示了全加器中静态的曼彻斯特进位电路示意图。运用我们的方法,可以得到:

、、、、。可以看出,按照我们的方法,正确的理解了全加器中静态的曼彻斯特进位电路的逻辑表达式。

(2)运用我们的方法来设计静态CMOS逻辑电路和传输门逻辑电路。

我们首先来设计一个静态CMOS逻辑电路。设计静态CMOS逻辑电路就是理解静态CMOS逻辑电路逆过程。我们以逻辑表达式为例来设计静态CMOS逻辑电路。参考上面讲述的运用我们的方法理解静态CMOS逻辑电路的过程可知,首先要将逻辑表达式写成如下形式:

这一项是对应静态CMOS电路的P网,而这一项是对应静态CMOS电路的N网。观察这两项,反向运用我们的方法,可知在P网中下面是栅极输入由C控制的PMOS管,再下面是并联的两个栅极信号分别由A和B控制的PMOS管。而在N网中,对应的是串联的两个栅极信号分别由A和B控制的NMOS管,这两个串联的NMOS管再与栅极信号由C控制的NMOS管并联。由上述分析可知逻辑电路图如图7所示。

其次运用我们的方法设计一个静态传输门电路。以异或门为例,其逻辑表达式为。运用我们的方法,得知一个两输入的“与”相使用一个MOS管,而“或”代表两个MOS管并联。如果使用两个NMOS管并联,电路图如图8所示。由图8可知,使用NMOS管的话还要两个反相器才能完全实现“异或”功能。如果使用两个PMOS管并联,电路图将更为简单,可以省略两个反相器,电路图如图9所示。

在学习静态传输门逻辑电路时,我们知道静态传输门逻辑相比与静态CMOS逻辑电路而言有一个优势就是:输入不同,逻辑功能也不同。实际上在这个优势背后有个不变的本质,这个本质就是本教学论文提出的理解和设计静态传输门和静态CMOS逻辑电路的方法。

4.结论

本教学论文提出一种能简易地理解和设计静态传输门和静态CMOS逻辑电路的方法。这种方法基于对NMOS管“高通低阻”和PMOS管“低通高阻”的电学特性的充分理解。我们的方法统一的静态CMOS逻辑电路和静态传输门逻辑电路,便于学生的理解和学习。

参考文献

[1]张延庆,张开华,朱兆宗.半导体集成电路[M].上海:上海科学技术出版社(第2版),1986.

[2]朱正涌,张海洋,朱元红.半导体集成电路[M].北京:清华大学出版社(第2版),2009.

逻辑电路设计方法范文2

关键词: 组合逻辑电路;火灾报警;电路设计;仿真

中图分类号:TP391 文献标识码:A 文章编号:1006-4311(2013)16-0063-02

0 引言

组合逻辑电路的基本构成单元是门电路,与时序逻辑电路不同,组合逻辑电路无记忆功能,输出信号仅取决于当时的输入信号[1]。组合逻辑电路的设计是根据给定的实际问题,用逻辑函数进行表达,用数字电路来实现逻辑其功能。常用的中规模组合逻辑电路有编码器、译码器、数据选择器、加法器等。

在设计硬件电路之前,常用一些虚拟软件进行仿真设计,Multisim软件是一款应用较广,功能强大的电子电路设计开发与仿真软件[2-5]。

文中,以集成与非门74LS00、译码器74LS138和数据选择器74LS151为主要元件设计了产生火灾报警控制信号的三种电路,设计平台为基于windows系统的Multisim 12.0软件,并进行了仿真测试。

1 电路设计与仿真

组合逻辑电路的设计步骤一般为:①根据设计要求,定义输入、输出的逻辑状态;②填写真值表;③由真值表,写出逻辑函数的最小项表达式并进行化简;④采用相应的元器件进行电路布线。

文中,火灾报警系统的输入为烟感、温感和紫外光感三种火灾探测器,当其中两种或两种以上探测器检测到火灾信号时,则系统发出火灾报警信号。设烟感信号为A、温感信号为B、紫外光感信号为C,报警信号为Y,当有信号时为1,无信号时为0,列出真值表,如表1所示。

1.1 基于与非门的电路设计 集成与非门74LS00有14个管脚,可以实现4个二端输入与非逻辑功能,74LS10可以实现3个三端输入与非逻辑功能。由公式(2)可见,此报警控制电路需要4个与非门,即三个二端输入与非门,一个三端输入与非门,电路连接如图1所示,其中三个探测信号输入端接入74LS00的三个二端输入引脚,三个二端与非门的输出接入74LS10的一个三端与非门输入引脚。

图1中的XLC1为逻辑变换器,是一种虚拟仪器,可以接入报警系统的输入与输出端,测试与验证其逻辑功能,从图2可见,该电路真值表及逻辑函数表达式与设计要求一致。

1.2 基于译码器的设计 译码是编码的反过程,74LS138译码器是集成有三个输入端,八个输出端的中规模组合逻辑电路,译码器的各输出端引脚信号对应于输入端二进制信号的组合情况。

依公式(4)可知,只要将译码器对应的四个输出端引脚接入74LS20芯片中的一个四输入端与非门即可,电路如图4所示。图中,译码器74LS138的G1、~G2A与~G2B为控制端,当G1接高电平,~G2A与~G2B接低电平时,芯片才能实现译码功能。系统接入逻辑变换器,对整个电路的功能进行了测试,测试结果与图2所示一致。

1.3 基于数据选择器的设计 数据选择器可以根据地址输入端的二进制信号,对输入端信号进行选择。8选1数据选择器74LS151是集成有三个地址输入端A、B、C,8个数据输入端D0~D7的中规模组合逻辑电路。74LS151数据选择器的功能用逻辑函数表示为

这样只要将数据选择器的输入端进行适当的置位便可以实现此报警功能,电路如图4所示。

图中,数据选择器74LS151的~G为控制端,低电平有效,D3、D5、D6、D7接高电平,其余数据数据输入端接低电平,地址输入端A、B、C与数据选择器的输出Y端接入逻辑变换器,以验证逻辑电路功能,验证结果与图2所示一致。

2 结论

组合逻辑电路广泛应用于各种数字电路设计中,文中给出了用集成与非门74LS00、74LS10,中规模组合逻辑电路器件74LS138译码器、数据选择器74LS151设计火灾报警控制电路的三种方法,并用最新版本的Multisim软件进行了直观的仿真验证。三种电路设计中,运用数据选择器最为有效。Multisim软件为组合逻辑电路的设计与仿真提供了强有力的计算机虚拟平台。

参考文献:

[1]王毓银.数字电路逻辑设计[M].北京:高等教育出版社,2002.

[2]张晶,李心广.基于multisim的电路设计与仿真[J].计算机仿真,2005,22(5):109-110.

[3]石嘉顺.基于multisim环境下的电路设计与仿真[J].计算机仿真,2007,24(12):306-308.

逻辑电路设计方法范文3

【关键词】数字电路;VHDL;教学改革;设计方法

1.引言

数字电路是理工科中的电类专业和计算机专业必修的专业基础课程,也是信息类各专业的平台课程。该课程在介绍有关数字系统基本知识、基本理论、基本电路的基础上,重点讨论数字系统中各种逻辑电路分析与设计的基本方法,以及该领域的发展现状及最新的技术。设置该课程的主要目的是为了让学生了解各种基本逻辑电路,能熟练地运用有关知识和理论对各类逻辑电路进行分析设计。目前, 大多数高等院校仍是采用传统的数字电路教学模式, 以教材为中心,过于强调基本原理、公式的推导以及波形的分析,往往让学生觉得抽象,不能够很好地理解电路、集成芯片的功能及应用。而实验环节主要在实验箱上完成,开设的是一些验证性的实验,对各实验项目的电路设计以手工为主,一般遵循自底向上的设计方法,从电路的功能分析,真值表、表达式、逻辑电路图到器件的选择、连线、测试等,学生的认识仅仅停留在局部小部件上,复杂的系统设计思想受到限制。在数字电子技术飞速发展的今天,大规模以及超大规模集成电路的广泛应用,这种缺乏实用性和创新性的传统教学模式,已不再适应现代应用型人才的培养。因此,教学需要融入新技术 、突破传统教学模式,引入VHDL语言的数字电路教学改革就成为一个重要的研究课题。

2.VHDL语言及其特点

超高速集成电路硬件描述语言(VHDL) 是一种用于数字电路设计的高级语言,是被IEEE和美国国防部确认为标准的硬件描述语言,其主要用于描述数字电路的结构,行为,功能和接口。基于这种描述结合相关的软件工具,可以得到所期望的实际数字电路。利用VHDL语言进行电路设计具有以下几个特点:

(1)VHDL可用于设计复杂的、多层次的设计,并且支持设计库和设计的重复使用;

(2)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力;

(3)VHDL有丰富的仿真语句和库函数,使其在设计的早期就能查验设计系统的功能可行性,借助于相关仿真器随时可对设计进行仿真模拟;

(4)对于VHDL完成的一个确定的设计,一般可进行逻辑综合和优化,并能自动的把VHDL描述设计转变成门级网表;

(5)VHDL语言支持电路描述由高层向低层的综合变换,便于文档管理,易于理解和设计的再利用;

(6)VHDL对于设计的描述具有相对独立性,设计者可以不懂硬件的结构,最终实现的目标器件设计。

3.VHDL语言较传统设计方法的优点

通过上述特点,我们了解到VHDL语言功能强大、设计灵活、容易掌握。将VHDL语言引入数字电路教学中,有利于增强学生对电路设计的认识,掌握更多的设计方法,提高分析设计能力。本文针对六进制约翰逊计数器的设计,分别采用了传统设计方法和VHDL方法进行设计,通过对比可得出,VHDL可以显著提升数字电路的教学效果。

3.1 传统设计方法

传统电路设计采用自底向上的设计方法如图1所示。本文选用JK、D触发器及门电路来实现,采用3个触发器连接产生8个状态,六进制约翰逊计数器只有6个状态,将其中的010,011两个状态禁止掉,具体状态转换表如表1所示。

图1 自底向上设计方法

表1 状态转换表

CLK Q2n'Q1n'Q0n Q2n+1'Q1n+1'Q0n+1

1 0..0..0 0...0...1

2 0..0..1 0...1...1

3 0..1..1 1...1...1

4 1..1..1 1...1...0

5 1..1..0 1...0...0

6 1..0..0 0...0...0

由状态转换表得出状态方程:

,,

将Q2,Q1选用D触发器,Q0选用JK触发器,得出驱动方程:

,,,

根据驱动方程最终画出逻辑原理图如图2所示。

图2 逻辑原理图

在得到逻辑原路图后,还需要进行逻辑验证,验证无误后再对逻辑原理图进行逻辑验证无误后,在PCB版上完成布线、装配、焊接及调试,如有问题,再进行局部修改,直至整个电路调试完毕为止。

图3 自顶向下设计方法

3.2 VHDL设计方法

VHDL设计采用自顶向下的设计方法如图3所示。首先根据设计要求对电路功能进行行为级描述和仿真,然后再进行RTL级描述和仿真,达到预期结果后再进行逻辑综合、布局布线,最终完成电路设计。

(1)行为描述,也就是对计数器数学模型的描述,通过代码描述出输入、输出引脚和计数过程中状态变化时序及关系,具体程序如下:

LIBRARY ieee;

USE ieee.std_logic_1164.all;

entity counter6 is

port(clk,reset:in std_logic;

count_out:out std_logic_vector(2 downto 0));

end counter6;

architecture rtl Of counter6 is

signal next_count:std_logic_vector(2 downto 0);

begin count_proc:process(clk,reset)

begin if reset='0' then

next_count<="000";

elsif clk'event and clk='1' then

case next_count is

when "000"=>next_count<="001";

when "001"=>next_count<="011";

when "011"=>next_count<="111";

when "111"=>next_count<="110";

when "110"=>next_count<="100";

when "100"=>next_count<="000";

when others=>next_count<="000";

end case;

end if;

count_out<=next_count;

end process;

end rtl;

利用Max+plusⅡ软件对上述程序进行编译、仿真,仿真结果如图4所示,结果表明,该方案符合设计要求。

图4 仿真结果

(2)RTL描述,即用具体门电路、运算器等来描述行为部分。行为描述程序抽象程度较高,故需转化为RTL方式描述的VDHL程序,以便于映射到具体的逻辑元件,得到硬件的具体实现。对于改写后的RTL程序同样需要进行仿真,检查正确性。

(3)逻辑综合,利用MAX+PLUS II Advanced Synthsis ALtera将其转换为门级网络表,输出逻辑原理图并进行仿真、检查定时关系。最后根据需要利用门级网表做出ASIC芯片或生成FPGA码点,完成电路设计。

3.3 VHDL与传统设计方法比较

相较于传统设计方法,VHDL采用自顶向下的设计方法,可进行结构化、模块化设计,更利于分工合作,再加上各层次的仿真检查,便于早期发现错误并改正,提高了设计效率;同时设计描述的相对独立性,使得学生设计时不必写表达式、真值表,不必考虑所用器件,降低了设计难度;另外VHDL语言简单易学,MAX+PLUS II界面友好,通过仿真波形分析,学生能更形象、更深刻的理解所学内容。

4.结束语

数字电路作为专业基础课程,其教学效果的好坏,将直接影响后续相关专业课程的学习。在数字电路教学中引入VHDL描述语言,利用MAX+PLUS II进行编译、仿真、演示,不但丰富了教学内容,改进了教学手段,提高学习兴趣,还有助于学生消除“抽象感”;另外VHDL能将传统教学中较难实现的电路设计转换为软件设计,不仅简化了设计工作,还有利于增强学生对集成芯片的认识,提高分析设计能力,掌握更多的设计方法,以适应现代应用型人才培养要求。

参考文献

[1]张天瑜.基于VHDL的数字电路课程改革研究[J].湖北广播电视大学学报:2010(02):25-26.

[2]黄红霞.基于VHDL提升数字电路教学效果的研究[J].黄石理工学院学报,2010(03):66-70.

[3]谭勇,朱斌.基于EDA技术的数字电路实验教学改革[J].中国现代教育装备,2012(17):43-44.

逻辑电路设计方法范文4

【关键词】数字电路课程;实践平台;工程设计;实验

1概述

在教学过程中,具备数字系统设计实践工程能力,涉及相关数字系统课程体系教学与实践,在各高校的电气、电子信息类专业中,数字电路是一门专业基础课程,随着数字技术应用领域的不断扩大,在后续专业课程中,显而易见,随着电子产品数字化部分比重增大,它在数字系统设计中基础性地位越来越突出。

因此,培养适合现代电气、电子、信息技术发展的卓越人才,创新数字电路的课程几次理论与工程实践教学迫在眉睫。

根据我校近几年电气、电子课堂教学的实践情况,数字电路课程应该以面向应用的数字电路设计为核心,在熟练掌握基本电路教学内容的基础上引入先进的数字系统设计方法的课程教学和实践内容。

工程实践过程中,逐步从自底向上的设计方法逐步转变到自顶向下的设计方法中来,以教师科研应用来拓展,以全面培养优秀数字设计卓越技术人才[1]。

2探索构建数字电路教学中的多层次的创新实践平台

2.1多层次的数字电路创新实验平台构思。

面向卓越人才培养的数字电路课程创新实践教学,可以分层次进行在各个教学阶段逐步推进,包括:面向基础的数字设计的基本原理与工程创新实验教学模块、面向应用的数字电路课程设计教学和结合科研项目的创新实践平台[2][6]。

多层次的数字电路创新实验平台架构如图1所示。

2.2数字设计的基础原理与实验教学。

数字电路基础原理和实验教学是数字系统设计的课程体系的基础入门阶段,是培养数字逻辑代数与逻辑电路的重要过程,大类可分为时序逻辑电路和组合逻辑电路,其中时序逻辑电路主要包括:锁存器、触发器和计数器,组合逻辑电路包括,编译码器、多路复用器、比较器、加(减)法器、数值比较器和算术逻辑单元等。教学的目的是训练学生掌握组合和时序逻辑电路坚实理论基础,使学生掌握数字电路的基本概念、基本电路、基本分析方法和基本实验技能,不但要注重基本数字电路与系统设计理论的理解,同时让学生在学习中逐步了解面向应用和现代科技进步数字电路新的设计理念[2][3]。

2.3面向应用的数字电路课程设计实践教学。

随着电子设计自动化技术(EDA)和可编程器件(CPLD)的不断发展和应用,以EDA技术为主导的数字系统理念已经成为企业工程技术的核心。数字电路课程设计主要培养学生利用中小规模数字集成电路器件和大规模可编程器件进行数字电路设计和开发能力。在卓越工程师培养背景下,结合前阶段数字电路课程理论教学和实验教学的实际情况及EDA技术的发展状况,适时进行数字电路课程设计和EDA技术课程的综合衔接,以及课程深度融合[4]。主要内容包括:

2.3.1基于Multisim等相关软件的数字系统仿真实验。可以构建虚拟数字实验系统,不但较好地模拟实物外观外,还可以利用系统提供的实验平台开展实验的设计、仿真,进行实验内容的逻辑验证。

2.3.2基于通用和专用数字芯片的数字系统设计。其主要特点是有很好的直观性和具体性。

2.3.3基于硬件描述语言(HDL)的数学系统硬件描述。采用硬件描述语言实现数字逻辑设计,基于EDA环境仿真和验证。可以结合上述(1)和(2)的优点,采用硬件设计软件化技术应用于数字电路课程设计的实验教学中,通过综合性实验的自行设计和实验,对实验内容、实验规模、实验方法进行了综合创新设计[5]。

2.4结合科研项目的数字设计实验创新平台。

在高等院校,教师即承担教学任务,同时有各自的科学研究方向,同学们可以根据自己的研究兴趣,加入教师的科研团队,形成教学与科研互利的良性循环。面向卓越工程师培养的数字系统设计,可以借助横向或纵向科研项目形成综合教学体系。比如:搭建在线可编程门阵列(FPGA)创新实验平台,形成数字电路、电路线路课程设计、可编程逻辑器件以及集成芯片系统设计,形成面向数字系统设计的课程体系[3]。同时,应用高校与知名企业建立的校企合作平台,把企业界的研究信息和研发需求引入到教学平台,开拓了学生的研究思路和视野,提升了学生设计复杂数字系统的能力;目前,我校正在与国际知名的半导体公司Xilinx、Altera和Cypress陆续建立卓越人才大学培养计划,利用大学设置小学期,在FPGA和PSoC开发平台上进行了面向实际应用的数字系统设计,在实践平台上不仅有学校的任课教师,还有知名企业派来的一线工程师指导同学们的实践,相比改革前,取得很好的实践效果,同学们的数字系统设计水平得到了提高,同时在编程、接口、通信协议等方面也有了深刻的认识。

对于优秀的学生,借助全国各种形式的大学生电子(信息)设计竞赛这个创新平台,组织他们积极参与,激发他们的学习研究兴趣和创新意识,综合所应用的数字系统设计知识,发挥竞赛团队的协作精神。每年,我们都有部分优秀学生通过努力,创新设计的作品获得专业认可,并取得了良好的参赛成绩,也使得数字设计课程体系的建设上了一个新的台阶。

3基于创新平台的课程体系优化与实践

卓越工程师培养要求的数字电路系统设计课程体系协调好相关电气、电子类专业上下游相关理论课程、实验综合性设计同时得到协调发展。如何实践论文所提到的创新实验平台,应该引进现代数字设计理念,重点把EDA软件、设计工具、开发平台与传统的数字电路基础理论教学相衔接。我们在这几年对数字系统设计课程体系、创新实践教学内容等方面的进行了改革与探索,取得了一定的成效。经过这几年的实践,我们逐步构建了面向应用的数字系统设计课程优化体系[5],如图2所示。

4不断探索数字电路理论教学内容的改革与实践

4.1以数字电路设计为目的强化基本逻辑电路理论教学。

在进行复杂数字系统设计之前应该熟练掌握这些常用基本组合和时序逻辑电路,包括电路的功能、电路的描述以及电路的应用场合等。

树立电路设计思想首先需要熟练掌握一些基本的逻辑功能电路。其次,树立电路设计思想需要理论讲解与实践相结合,逐步熟悉硬件描述语言的描述方式。数字系统设计强调采用硬件描述语言来对电路与系统进行描述、建模、仿真等[2][3]。

4.2掌握面向应用的数字系统工程设计方法。

学生在掌握数字电路基本概念和一般电路的基础上,进一步掌握数字系统设计的方法、途径和手段。其主要内容包括:数字系统与EDA的相关概念、可编程逻辑器件、硬件描述语言、电路元件的描述、数字系统的设计方法、开发环境与实验开发平台以及应用实例的介绍等。这些课程内容涉及面较广,为了提高教与学的效果,探索总结了以下的教学重点内容,并作为教学实践中的教学切入点[1]。

随着电子技术不断发展与进步,现代数字系统设计在方法、对象、规模等方面已经完全不同于传统的基于固定功能的集成电路设计[1][2]。现代数字系统设计采用硬件描述语言(HDL)描述电路,用可编程逻辑器件(PLD)来实现高达千万门的目标系统。这一过程需要也应该有先进的设计方法。根据硬件描述语言的特性和可编程逻辑器件的结构特点以及应用的需要,在教学过程中阐述了先进设计方法。例如:采用基于状态机的设计方法设计复杂的控制器(时序电路),应用或设计锁相环或延时锁相环来处理时钟信号,应用自行设计(IPcore)软核来提高数据吞吐量[1][2][3]。

4.3深化数字电路实验教学改革。

实验实践教学过程中,注重基础训练与实践创新相结合的实验教学改革思路,加强学生工程思维训练、新平台工具的使用、遇到逻辑问题的综合分析能力,理论与实践相结合的分析能力。在实践过程中的提高创新性和综合性能力,面向应用的数字电路创新平台建设,需要不断提高课程试验、实验和实践过程在教学中的比例,在符合认知规律的同时,逐步加强来源与实际需要的综合性数字设计实验。

5结语

数字电路是电气、电子信息类专业的一门重要的专业基础课程,论文针对当今卓越工程师培养的要求,以及在教学过程中遇到的主要问题,探讨了面向应用的数字电路课程创新实践平台。提出了多层次的数字电路创新实验平台结构和面向应用的数字系统设计课程优化体系。目的在于,通过课程及相关课程体系改革与创新,使得学生更快、更好的适应现代数字技术发展的需求。

参考文献

[1]孔德明.《数字系统设计》课程教学重点的探讨,科技创新导报,2012.1,173-174.

[2]任爱锋,孙万蓉,石光明.EDA实验与数字电路相结合的教学模式的实践,实验技术与管理,2009.4,200-202.

[3]叶波,赵谦,林丽萍.FPGA课程教学改革探索,中国电力教育,2010,24,130-131.

[4]秦进平,刘海成,张凌志等.电类专业数字系统综合实验平台研制,实验技术与管理,2012.6,75-78.

逻辑电路设计方法范文5

【关键词】EDA技术;QuartusⅡ;电子设计;VHDL

1.引言

集成电路设计不断向超大规模、低功率、超高速方向发展,其核心技术是基于EDA技术的现代电子设计技术。EDA(Electronic Design Automation,电子设计自动化)技术,以集成电路设计为目标,以可编程逻辑器件(如CPLD、FPGA)为载体,以硬件描述语言(VHDL、VerilogHDL)为设计语言,以EDA软件工具为开发环境,利用强大计算机技术来辅助人们自动完成逻辑化和仿真测试,直到既定的电子产品的设计完成。其融合了,大规模集成电路制造技术、计算机技术、智能化技术,可以进行电子电路设计、仿真,PCB设计,CPLD/FPGA设计等。简言之,EDA技术可概括为在开发软件(本文用QuartusⅡ)环境里,用硬件描述语言对电路进行描述,然后经过编译、仿真、修改环节后,最终下载到设计载体(CPLD、FPGA)中,从而完成电路设计的新技术。

以EDA技术为核心的现代电子设计方法和传统的电子设计方法相比有很大的优点,两种设计方法的流程如下图:

图1 传统电子设计流程图

图2 基于EDA的现代电子设计流程图

比较两种设计方法,基于EDA技术的现在电子设计方法采用自上而下的设计方法,系统设计的早期便可进行逐层仿真和修改,借助计算机平台,降低了电路设计和测试的难度,极大程度地缩短了电子产品的设计周期、节约了电子产品的设计成本。DEA技术极大的促进了现代电子技术的发展,已成为现代电子技术的核心。

2.QuartusⅡ软件开发环境介绍

QuartusⅡ软件是Alter公司开发的综合性EDA工具软件,提供了强大的电子设计功能,充分发挥了FPGA、CPLD和结构化ASIC的效率和性能,包含自有的综合器及仿真器,支持原理图、VHDL、VerilogHDL等多种设计输入,把设计、布局布线和验证功能以及第三方EDA工具无缝的集成在一起。QuartusⅡ与Alter公司的上一代设计工具MAX+plusⅡ具有一定的相似性,和继承性。使熟悉MAX+plusⅡ开发环境的设计人员可以快速熟练应用。相比之下,QuartusⅡ软件功能更为强大、设计电路更为便捷,支持的器件更多。增强了自动化程度,缩短了编译时间,提升了调试效率。从而缩短了电子产品的设计周期。利用QuartusⅡ软件进行电子电路设计流程如图3所示。

图3 QuartusⅡ设计流程图

3.在QuartusⅡ环境下的EDA方法设计实例

下面本文在QuartusⅡ环境下,以下降沿D触发器的设计为例来说明基于EDA技术的现代电子设计方法(本文以QuartusⅡ9.0为例)。

3.1 在计算机上安装QuartusⅡ9.0版本软件

QuartusⅡ9.0对计算机硬件配置要求不高,现阶段的主流配置完全可以满足其要求。QuartusⅡ9.0安装过程很简单,按照提示操作即可。

3.2 D触发器功能分析

从D触发器真值表可以看出,当时钟信号clk不论是高电平还是低电平,其输出q的状态都保持不变,当时钟信号clk由高电平变为低电平时,输出信号q和输入信号d的状态相同。

表1 D触发器真值表

输入d 时钟clk 输出q

× 0 不变

× 1 不变

0 下降沿 0

1 下降沿 1

3.3 D触发器的VHDL描述设计

下面给出D触发器的VHDL描述:

library ieee;

use ieee.std_logic_1164.all;

entity dff1 is

port(d,clk:in std_logic;

q:out std_logic);

end dff1;

architecture bhv of dff1 is

begin

process(clk)

begin

if clk='1' then

q<=d;

end if;

end process;

end bhv;

上面程序在QuartusⅡ9.0环境下,经保存后进行编译,然后可进行波形仿真。

3.4 设计仿真

VHDL描述程序编译后,建立矢量波形文件,之后可以进行波形仿真,得到如下波形仿真图(如图4所示):

图4 D触发器仿真波形图

此仿真波形符合D触发器真值表,说明电路设计正确。如果波形仿真不符合真值表,说明电路设计有问题,此时可以回到3.3步骤修改VHDL描述程序,直至仿真结果正确为止。

波形仿真正确后,可得出相应的逻辑电路图,D触发器电路图(如图5所示)如下:

图5 D触发器逻辑电路图

3.5 配置下载测试

整个电路设计、编译仿真无误后,按照FPGA开发板说明书进行引脚锁定,重新进行编译后,然后通过下载电缆线,将产生的sof文件下载至FPGA中,对电路进行测试、验证,完成电路的最终设计。

4.结束语

本文以QuartusⅡ开发环境下的实际电路设计为例,介绍了基于EDA技术的现代电子设计方法。通过设计过程可知,DEA技术在现代电子电路设计中的重要性。在电子技术飞速发展的信息时代,EDA技术也在不断发展。电子产品设计者有必要熟练掌握硬件描述语言、可编程逻辑器件以及各种主流软件开发环境,这样才可以在最短的时间内完成高质量的电子产品设计任务。

参考文献

[1]阎石.数字电子技术基础[M].北京:高等教育出版社(第五版),2006.

[2]刘江海.EDA技术[M].武汉:华中科技大学出版社,2009.

逻辑电路设计方法范文6

“数字电路”是电气类专业的一门重要专业基础课程,也是电子类专业课程学习的基石。学生对该课程的学习效果,直接影响着他们的专业课程学习,甚至影响到学生在电子电路设计方面的动手操作能力和实践创新能力的培养。“数字电路”是一门实践性很强的课程,学生要想学好这门课程,实践是至关重要的环节[1]。为提高“数字电路”实践教学效果,分析了传统的实践教学存在的问题,并进行了大胆的实践教学改革,取得了很好的成效。

1 传统实践教学存在的主要问题

1.1 传统的实践教学以教师讲授为主

传统的实践教学通常是以教师讲授为主。首先教师认真分析实验原理,然后逐步讲解演示,学生跟着老师的节奏一步一步地完成实验内容。学生做实验前根本不需要课前准备,只要在课堂认真听老师讲解,紧跟老师步伐完成每一步操作,就可以到达预定的实验效果。甚至有些实践教学中,老师从头至尾为学生演示实验,基本没有留给学生独立思考的时间和空间,也没有给学生独自将所学的理论知识应用于实践的机会。这种“教师演示,学生观摩”的实践教学模式导致学生养成一种被动学习的学习态度,他们认为实验课就是验证所学的知识,教师给出实验内容和演示,学生只要照做就可以了,最后还将这种学习方法带入到专业课程的学习阶段。因此,传统的实践教学模式不利于学生发散思维和实践创新能力的培养,完全达不到学生职业化教育的培养目标。

1.2 传统的实验项目缺乏学习趣味性

传统的实验项目一般以普通的门电路设计、组合逻辑电路设计、时序逻辑电路设计为主。这些实验项目往往缺乏实际生活应用背景,内容也显得单一,学生在学习过程容易产生疑惑,不知道所学有何用,逐渐失去学习兴趣。因此,教师在实验项目的设置上应该适当地考虑实际应用背景,比如涉及到某一生活需要或工程应用,让学生感受到自己所学知识有所用,从而激发他们的学习情趣和动力。

2 “数字电路”开放式实践教学的意义

2.1 以学生为主体,培养学生实践能力

采用开放式实践教学,以学生为主体,教师为主导,学生在整个实践教学中居主体地位。教师提出设计要求,学生自行分析设计思路,查找资料,制定设计方案,最后根据所学的知识完成实验设计任务。整个过程中,教师必须全程跟踪,当学生遇到疑问或困难时,应给与引导和帮助。学生在老师的引导下还可以发散思维,追求创新,开展一些拓展性的任务设计。这样才能从真正意义达到培养学生独立思考、动手操作和实践创新的能力。

2.2 加强学生间的沟通,创造开放平等的交流平台

浓厚的学术氛围和开放平等的交流平台是激发学习情趣和促进学习进步的重要条件。学生可以在良好的学习环境中相互交流、相互竞争和合作。有利于他们在学习过程中发现问题和解决问题,有利于进一步激发学生的求知欲和创新潜能[2]。因此,创建开放性电子设计实验室,建立高标准实践平台,为学生提供一个良好的实践环境尤为重要。

2.3 营造正能量的学习风气

在开放式实践教学过程中,教师应鼓励学生独立思考问题,积极交流经验,利用所学知识去解决生活中的实际问题[3]。鼓励学生按照自己思维方式去完成电路设计,寻找学习兴趣,将“被动式”学习逐渐转变为“主动式”学习,营造正能量的学习风气。

3 开放式教学在“数字电路”实践教学的实施

3.1 硬件平台

实践课开设前,教师应根据设置的实验项目内容准备好一些必需的实验素材,比如相关的集成芯片、SYB-500面包板、USB信号线、数码管、发光二极管和电缆线等。然后将它们发放给学生,学生可以根据实验项目的任务要求,在不限于实践课的条件下利用课余时间在开放式电子电路设计实验室进行电路设计,做好提前预习和调试,为实践课做准备。课后学生还可以在实验室对自己的实验项目做进一步的完善或拓展设计,加深对所学知识的印象,提高自己的学习水平和专业技能。

3.2 项目设置

为提高学生学习兴趣,项目的设置一定要紧密围绕实际应用和实践创新,能够解决生活中的一些实际问题。项目的来源最好具有较高应用背景的产品制造、工程应用和科研课题,另外增加必要的电路板制作和硬件验证,可以激发学生的学习兴趣,还能真正达到培养学生职业技能的教学效果。通过改革,学校对原来普通的门电路设计、组合逻辑电路设计、时序逻辑电路设计等项目进行了调整,调整结果见表1所示。从表1可以看出,7个实验项目在日常生活都具有较高的应用背景和应用价值。

3.3 典型实践案例

利用SSI器件设计一个表决电路。首先阶梯式地安排两个设计任务,第一个任务是完成举重裁判表决电路德尔设计,举重比赛有3个裁判,一个主裁判和两个副裁判,当两个裁判(其中有一个为主裁判)或两个以上裁判判举重成功时,才表示举重成功。第二个任务是完成一个4人表决电路的设计,4人当中有1位主裁、3位副裁,主裁认可计两分,副裁认可计1分,只有当分数之和超过2分时才表示通过。学生可根据自身实际情况进行任务选择。

表决电路在实际生活中应用很广泛,比如一些选秀节目经常出现的一些资深导师对选手进行表决投票场景。学生对这一场景很熟悉,投票规则容易理解,这样一来就会带着一种好奇心进行电路设计。学生首先分析举重裁判表决电路的因果关系,确定输入变量和输出变量,并且进行逻辑赋值,然后画出真值表,利用卡诺图化简,写出最简与或逻辑表达式Y=AB+AC。有些学生就利用74LS08与门和74LS32或门实现;有些学生将最简式进行变型Y=((AB)'(AC)')',然后用74LS00与非门实现;甚至有些学生将逻辑表达式简化成或非-或非的形式Y=(A'+(B+C)')',用74LS02或非门实现。在举重裁判表决电路设计过程中,老师不需要仔细讲解每一个设计步骤,只需要在学生的身边进行引导和启发。学生根据自己所掌握的理论知识,主动求索,自主完成表决器的设计。在大部分学生完成第一个设计任务后,尝试到了成功的喜悦,便不由自主地进入第二个难度稍大点的4人表决器的设计。通过这两个电路的设计,学生基本可以掌握利用小规模集成芯片完成组合逻辑电路设计的方法。

学生的理论水平参差不齐,对待问题的思考方式也各异千秋。在实践教学过程中,不管学生采用何种逻辑方法,只要能够实现表决器的逻辑功能,都应该给予充分的肯定。只要学生进行了独立思考,尽管电路设计不够完善,也应该得到老师的表扬和鼓励,这样才能提高学生的学习兴趣和积极性,才能有利于培养学生独立思考和实践创新的能力。