电子电路的设计范例6篇

前言:中文期刊网精心挑选了电子电路的设计范文供你参考和学习,希望我们的参考范文能激发你的文章创作灵感,欢迎阅读。

电子电路的设计

电子电路的设计范文1

关键词:电子电路设计 创新 路径

中图分类号:TN702 文献标识码:A 文章编号:1672-3791(2017)04(b)-0115-02

科技的不断进步和发展,电子产品逐渐的渗透到生产和生活的各个领域,成为国家科技生产水平的主要组成因素,推动者计算机技术的不断进步,成为国家发展的动力,为技术的全面进步提供必要的条件。但是现阶段我国进行电子电路设计的过程中存在一定的问题,创新能力不足,自主知识产权意识较弱,造成整体发展水平出现滞后性,因此在今后的发展中需要对电子电路设计的创新路径进行分析,全面的掌握创新方法,保证电子电路自主研发能力的提升,促进我国科技水平的全面进步。

1 电子电路设计概述

1.1 电子电路设计的原则

电子电路设计需要遵循相关的原则,这样才能更好地保证设计的科学性,首先需要对电子电路内部的各项原件相互之间的关系进行全面的分析,掌握设计的内部结构以及外部结构,整体上对原件内部的各项构造进行分析,综合地对电子电路的各项类型进行分析,全面地掌握各项设计类型。其次需要关注设计的功能性原则,在进行设计的过程中需要将电子电路系统进行更加细致全面的划分,掌握不同模块的实际功能,考虑到实现这些模块和功能的途径,从而在设计中了解掌握原件的情况,实现电子电路设计的规范性。在进行电子电路设计的过程中需要保证各项功能的完整性,在进行设计的过程中需要针对每一个部件的实际使用效果进行分析,确定整体的设计成果符合实际使用的效果,这样才能进一步提升设计的科学性与合理性,在实际使用中保证使用的质量。

1.2 电子电路设计的技术

进行电子电路设计需要采用合适的方法,具体的方法包括遗传算法。这种方法在进行设计的过程中将关注的焦点放在需要解决的问题上,针对性地进行代码设计,对需要解决的问题进行相应的编程,这样的方式可以在进行程序编制的过程中避免因为竞争机制带来不同遗传操作和交叉变异的问题,满足现实情况下的管理机制,对其中较差的个体进行替代,保证代码的使用更加符合技术的需要,不断地满足现实条件,对结果进行更加全面的管理,对实际问题进行整体解决。而现场可编程逻辑阵列是将逻辑电路方式进行应用,采用在线编程的方式,将存储芯片设置在RAM内,在需要编程的过程中通过原理图和硬件对语言进行描述,然后将数据存储到RAM内,这样将数据进行存储的方式使得相关的逻辑关系得到更加科学的处理,一旦对其中的FPGA开发软件进行断电之后,就会出现RAM的逻辑关系空白,为整体的数据存储节省较多的空间,提升FPGA系统的使用效率,将不同的数据流灌入到硬件系统中,提升电子电路设计的整体质量,便于对设计方法进行全面的创新。

2 电子电路设计的创新基本方法

2.1 对电子电路进行层次化的设计

进行电子电路层次化的设计首先需要将基本构造分成相应的模块,对不同的模块进行分层次的设计描述,整体设计过程中需要按照从硬件顶层抽象描述向最底层结构进行转换,直到实现硬件单元描述为止,层次化设计在进行管理设计的过程中相比较而言较为灵活,可以根据实际特点选择适宜的设计方式,既能够是自顶向底的方式,也可以是自底向顶的方式,具体情况需要按照实际情况进行分析,对电子电路的设计进行全面科学的管理。

2.2 对电子电路进行渐进式设计

渐进式设计也是电子电路设计中经常出现的情况,这种设计方式主要是将一些附加功能带入到管理中,将设计的相关指标使用到设计中,其中包括高频、低频模拟电路、数字电子线路的结构设计,然后依据实际情况设计相应的单元电路结构,将电子电路工作的特点和运行方式融入到设计中,并将线路设计进行全面的整合,注重输入与输出之间的相互关系,保证电路设计的规范性,将电子电路设计得更加便于操作。同时在进行设计的过程中需要对渐进式设计的步骤M行分析,根据应用型电子电路的功能,及时地对电子电路进行组合,在进行拼装时需要关注连接点信号连接的强度、幅度以及电压值之间的关系,将整体电路进行更加科学的设计。

2.3 硬件语言描述设计

在进行电子电路设计的过程中还可以使用基于硬件语言描述的形式,首先需要对设计目标进行全面的管理,熟悉电子设计中对信号进行控制的相关原理,保证信号处理的各项参数。在具体信息确定完成之后需要对系统进行分解,找出硬件的总体框架,之后对设计图进行仿真设计,将较为重要的位置使用相关的记号进行标注,然后借助CAD软件对设计进行仿真测试,保证电子电路设计的逻辑关系、正负极值、时序等的正确性,提升方案设计的规范性。

3 电子电路设计的创新路径

3.1 电子电路构架设计

进行设计创新首先需要对整体的设计构架进行管理,在设计中对FPGA系统进行重新定义,在硬件单元内部建立连接,找出更加明确的构建系统,对设计途径进行创新。在设计结束之后需要对设计目标以及设计结果进行对比,可以采用错误的代码,验证系统在进行甄别过程中的效果,对于出现问题的地方及时进行改进。在结束之后选择适宜的子系统,其中一部分保持原本的运行状态,一部分按照遗传算法进行一定的修改,这样可以对系统进行更加完善的处理,使操作的适应性更强。进行改进之后再对系统进行整体的验证,不断地对设计方案进行改进,使得设计更加符合方案的需要。

3.2 对设计环境进行创新

在设计过程中需要对系统的环境进行创新,用于测试的环境需要将测试的硬件与显示的FPGA构架和硬件进行全面的控制,制定适宜的仿真软件。计算机在使用的过程中可以通过通信电缆将数据从计算机下载到FPGA系统中,使用规范化的仪器对数据采集中的硬件和软件进行连接,对设计方案进行全面的评估,并将数据转化进行应试实验,对软件进行仿真处理,提升系统整体运行环境。

4 结语

电子电路设计对于科技的发展具有较为关键的作用,需要对系统进行全面的管理,对设计方法进行不断的创新,使设计在多变的环境中实现自我重构,提升设计的科学性,使抽象的理论形象化、复杂的电路实际化。不仅能提高理解分析能力,而且能提高设计能力。通过设计和模拟仿真可以快速地反映出所设计电路的性能,使设计更加生动、直观、实时、高效,更好地为人类造福。

参考文献

[1] 梁光胜.电子技术系列课程教学改革的研究与实践[A].中国光学学会光电技术专业委员会,教育部高等学校电子信息科学与工程类专业教学指导分委员会,全国高等学校光学教育研究会.全国光学、光电和电子类专业教学经验交流、研讨会专集[C].中国光学学会光电技术专业委员会,教育部高等学校电子信息科学与工程类专业教学指导分委员会,全国高等学校光学教育研究会,2012.

[2] 黄品高,叶懋,景新幸.电子电路基础实验教学中培养学生创新能力的基本素质的探索[A].教育部中南地区高等学校电子电气基础课教学研究会.教育部中南地区高等学校电子电气基础课教学研究会第二十届学术年会会议论文集(上册)[C].教育部中南地区高等学校电子电气基础课教学研究会,2010.

电子电路的设计范文2

【关键词】电子实验;虚拟实验平台;LabVIEW;教学改革

1 教学现状与虚拟实验平台开发工具的简介

电子信息工程专业有两个显著的特点:一是,学科知识比较抽象,比如模拟电路、数字电路、信号与系统课程等等。二是,对学生的实践和动手能力要求比较高,并且还要学会与自己上课学的理论知识紧密的联系在一起。现在大多数高校的实验教学基本上是一个模式,老师上课前先讲实验的基本内容,然后老师演示一遍,学生照着老师的演示做一遍得出相同的结果,实验课就这样结束。这样,学生根本就学不到实验的基本技能,而且会导致他们的动手能力和思考能力的下降,不利于激发学生地创新性思维。

虚拟技术的出现,改变了传统仪器单一的现状。LabVIEW是一种程序开发环境,由美国国家仪器(NI)公司研制开发,类似于C和BASIC开发环境,但是LabVIEW与其他计算机语言的又有显著区别:其他计算机语言都是采用基于文本的语言产生代码,而LabVIEW使用的是图形化编辑语言G语言编写程序,产生的程序是框图的形式。在编程过程中用图标代替文本行创建应用程序,而且还拥有丰富的函数库和工具包,使用非常方便,可以采用自上而下的理念开发相应的模块[1]。

LabVIEW软件开发的程序一般都由VI(虚拟仪器)组成,所有的VI(虚拟仪器)包括前面板和程序框图两部分。前面板是和用户交互的界面,程序框图是设计者设计流程。用户可以通过前面板输入数据,按照编写的程序以数据流方式进行计算,最后将结果反馈到显示控件上,用户直观的得到结果[2]。

2 虚拟实验平台的思路和基本框架

本实验平台包括《电路分析基础》课程中常见的电路实验,比如RLC串联谐振电路、二阶电路的零输入响应、支路电流法、节点分析法、一阶低通电路的频率响应。每个实验都是根据电路分析实验指导书上的实验原理、教学目标、实验内容和步骤设计。然后通过LabVIEW软件编程、设计人机交互界面达到更好的效果。虚拟实验平台的基本框架如图1所示,本文以支路电流法来阐述怎样利用LabVIEW实现实验设计过程。

用支路电流法可以验证基尔霍夫电流定律,基尔霍夫电流定律的基本内容是:对于任一集总电路中的任一节点,在任一时刻,流出(或流入)该节点的所有支路电流的代数和为零。所以可以根据基尔霍夫电流定律的基本内容来设计支路电流法实验。利用LabVIEW图形化编程的特点,在前面板上放置8个数值型输入控件,设置好相应的单位,分别代表电阻、电压,且数值均可以调整。然后在前面板放置5个显示控件,用来显示5条支路上的电流。通过修饰控件,可以在前面板建立电路图,如图2所示。

使用MathCript节点生成线性方程组的系数和已知向量[3],就可以求解方程组。利用选项卡控件可以添加实验目的和实验步骤,通过程序的调试和几次实验验证,实验结果可靠准确,支路电流法实验设计完毕。

将设计的5个实验添加到一个容器中,LabVIEW里面的函数就可以调用VI(虚拟仪器)。生成的菜单如图3所示。

3 结语

随着虚拟仪器技术的发展,传统仪器设备寿命周期短以及不利于资源共享的缺点愈来愈凸显。结合我校实际,本文设计了我校电子电路虚拟实验平台,经过不断的调试已成功用于实际实验中,且实验效果较好。后续我们将继续完善该虚拟实验平台并在适当范围内进行推广,以期获得更好的教学改革效果。

【参考文献】

[1]吴俊.基于LabVIEW的虚拟实验室研究[D].南昌:南昌大学,2012.

电子电路的设计范文3

关键词:Protel 99se;Cadence;ADS;工具栏按钮功能;软件间的配合使用

二、多种EDA软件简介及其对照

1、Protel

目前主要EDA软件有Protel 、Cadence、ADS、EWB、PSpice等电路设计软件,其中以Protel 、PSpice和EW较为简单基础、容易上手,而以Cadence、ADS更适用于从事大规模、高精度的电路系统设计。在中国最为常用的软件是Protel,这当然也是中国大陆的电子工程师们已经形成了一种习惯所决定的,而在国外就不一定了。Protel系列软件的最大特点是简单、适合教学的,其系统性能也很稳定。

2、Cadence

Cadence 在仿真、电路图设计、自动布局布线、版图设计及验证等方面有着绝对的优势。Cadence 包含的工具较多几乎包括了EDA 设计的方方面面。Cadence软件在中国应用比较晚;

3、ADS

ADS软件在射频电路设计、通信系统中的高频电路设计和电磁辐射和电磁屏蔽等方面有很多的应用。在新版的ADS2008软件中还具有增强的图形化使用者操作界面的功能,可以使得系统的设计速度加快。另外。ADS2008软件还将3D技术应用到电磁分析等方面,使得ADS2008软件功能愈显强大。

三、Protel 99se软件的相关配置及其特点

1、Protel 99se 软件的基本配置及其功能

a、SCH5.0 的特点:主要用于原理图的设计,其具有集成性高、支持层次化自动设计、元件库强大扩充功能、任意的绘图页尺寸、兼容性高、提供基本的设计验证工具、为印制电路板设计提供网络表等特点。还具有分层组织设计功能、设计同步器等功能。

b、PCB5.0的特点:自动化布线功能、智能化的覆铜技术、与电气原理设计系统的动态连接、面向生产工艺的设计功能。可以进行多达32层信号层、16层内部电源/接地层的布线设计,交互式的元件布置工具极大地减少了印制板设计的时间。

2、Protel 99se 软件的完整配置

Protel 99se 软件的完整配置包括SCH5.0、PCB5.0、ROUTE5.0、PLD5.0、SIM5.0;其中ROUTE5.0是一个集成的无网格自动布线系统,布线效率高;在该完整配置中集成了PLD开发环境,可使用原理图或CUPL硬件描述语言作为设计前端,能提供工业标准JEDEC输出;该配置还包含一个基于最新Spice3.5标准的仿真器,可为用户设计前端提供了完整、直观的解决方案;

四、对Protel 99se的文件分类、字体设置

1、Protel 99SE可以的文件类型

Protel 99SE可以建立十种文件类型。我们在讲课的时候需要指出:Schmatic Docment文件对应的库函数是Schmatic Library;而PCB Docment文件对应的库函数是PCB Library。

2、字体设置

第一步:选择Preferences命令后,在图1对话框所示的自动备份参数设置;

第二步:单击Protel 99SE 中的Change System Font按钮,屏幕弹出图2所示的字体设置对话框,可以进行字体、字体式样、字号大小、字体颜色等设置;

图1  自动备份参数设置                    图2  字体参数设置

五、工具栏按钮功能以及常见封装形式的记忆

1、形象直观工具栏按钮的讲解与记忆

Protel99SE提供形象直观的工具栏,可以通过对工具按钮中常用的命令进行形象、联想等方式进行记忆,这在开始学习Protel的过程中必须的过程:

2、常见的封装形式记忆

参考文献:

电子电路的设计范文4

【关键词】电子工程设计;高频电路;教学研究

1.引言

《电子工程设计》是电子信息工程专业的一门专业课和必修课。本课程是学生学习电子技术十分重要的教学环节之一,是对学生学习电子技术知识的综合实践训练。通过电子技术实践教学环节,使学生巩固所学的电子技术理论知识,培养学生解决实际问题的能力,加强基本技能的训练,切实提高学生的实践动手能力和创新能力。教学任务是通过本课程的学习使学生掌握常用电子元器件基本知识,常见电子电路的设计,综合电子应用电路的设计,电子线路板元件布置与布线基本知识,元件焊接技术,硬件电路的调试技术,电信号的检测技术。

课程内容中高频电路设计部分是重点也是难点,高频电路设计包括各种调谐电路的设计、高频振荡器的设计以及实际电路的制作和调试。学生在进行各种参数选择和电路调试的过程中很容易出现问题,本文针对高频电路设计和调试方法进行了深入的教学研究,结合课程讲授过程中的实际问题对此部分教学内容做了详细分析,并取得了良好的教学效果。

2.调谐放大电路的设计和调试方法研究

调谐放大器是一种选频放大器,即从所输入的信号中选出有用信号并进行电压放大。调谐放大器在各种电子设备、发射和接收机中被广泛应用。在调谐放大器中由L、C元件组成并联谐振回路,对信号进行选频[1]。放大器件可以是双极型晶体管,也可以是场效应管。

2.1 原理电路

图1 原理电路一

图2 原理电路二

RB1、RB2、RE提供管子的静态工作点,使管子处在放大状态。电路之一中的L、C组成并联谐振回路,决定谐振频率。电路只对谐振频率及其通频带内的信号进行电压放大,而对通频带以外的输入信号不放大,从而实现选频放大。电路之二的直流偏置与电路之一相同。电路中的C、L1、L2决定谐振回路的谐振频率。放大的电压信号经L1、L2之间的互感耦合,由L2两端输出。上述电路在发射和接收设备中被广泛应用。如超外差收音机的中放电路、电视机的中放电路等普遍使用上述电路。

2.2 电路的设计方法

(1)按所需谐振频率选择LC参数

谐振频率由L、C参数共同决定,在设计中一般先固定电容的参数,选择电感元件的参数。在设计高频谐振回路时,由于管子的结电容和元件分布电容影响谐振频率,选择电容参数时适当将容量选的小些。电感最好选用具有磁帽的电感,以方便电路调试时微调电感量。如果选用有骨架电感,可通过增减匝数来微调电感量;如果选用空心电感也可通过改变匝与匝之间距离来微调电感。

(2)三极管放大电路的设计

电路属于小信号放大电路,设计时参照中频段单管放大电路的设计过程。其实就是通过设计使管子具有合适的静态工作点,并具有合适的动态范围。如UCEQ≈1/2VCC。注意:对直流来说LC回路相当于短路。

2.3 电路的调试方法

(1)静态调试

调试电路的静态工作点,使电路中管子的静态电流和有关电压达到设计值。UCEQ最好接近1/2VCC。

(2)动态调试

在信号输入端输入接近LC回路谐振频率的频率可变的信号,用毫伏表测试LC回路的电压。将输入信号由低到高改变频率,观察毫伏表读数,当毫伏表读数最大时,所输入的信号频率就是该电路所放大的信号频率。

上述毫伏表可以用示波器代替。当示波器显示波形幅度最大时,所输入的信号频率即为该LC回路的谐振频率,也就是该电路所放大的频率。在没有毫伏表的情况下,也可以用万用表直流电压档测量管子的UCE,在LC谐振时UCE最小。如果电路的谐振频率偏离设计频率,可以通过微调电感量进行谐振频率的微调。如果谐振频率偏离设计值太多,可先改变电容的容量,然后再微调电感量。如果有条件最好用扫频仪调试放大电路的频率特性。

3.高频正弦振荡电路的设计和调试方法研究

所谓高频正弦振荡器是指产生几百kHz以上正弦信号的电路(几赫兹~几千赫兹正弦信号由RC正弦振荡器产生)。

高频振荡器按选频网络分为LC正弦振荡器和石英晶体正弦振荡器[2]。LC正弦振荡器的频率稳定度为10-2~10-5,石英晶体正弦振荡器的频率稳定度为10-7~10-9。

LC正弦振荡器的振荡频率可通过改变电感量实现微调。如果需要使振荡频率该变量较大,一般先改变谐振回路电容的容量,然后微调电感量。当石英晶体的标称频率选定后,石英晶体振荡器的振荡频率基本固定,虽然理论上可通过改变配谐电容的容量来微调振荡频率,但由于配谐电容的容量很小,在实际中通过改变配谐电容容量对电路振荡频率的改变很很小。只要应用场合对振荡器振荡频率稳定度的要求不是很高,实际中高频振荡器大多是LC正弦振荡器。

3.1 LC正弦振荡器的电路设计

从理论上讲,LC正弦振荡电路有变压器反馈式、电感三点式、电容三点式、改进的电容三点式(克拉拨电路)。实际中的LC正弦振荡电路上述四种形式都有,但最多的是电容三点式和改进的电容三点式[3]。这是由于电容三点式电路管子的结电容和元件分布电容对谐振频率的影响小。电容三点式电路原理电路如图3所示。

图3

由管子和RP、R1、R2、R3、R4、C1、C2组成的电路是小信号放大电路。显然它是阻容耦合共基极放大电路。电阻决定电路中管子的直流偏置,由于整体电路为振荡电路,管子在处于放大状态的前提下,应尽量使直流偏置小些,即静态工作点靠近截止区,如管子的静态集电极电流一般在(1~2)mA。电容C1、C2为隔直通交电容,它们的容量视振荡频率决定[4]。

振荡频率计算公式近似为:

在选择C3和C4容量时,要注意C4对谐振频率的容抗决定正反馈的大小,其容抗越大则正反馈量就越大,电路容易起振[5]。但正反馈量过大会使管子退出放大状态,反而电路不能振荡。在设计中先选择C的容量,然后计算L的电感量。振荡频率在几MHz以下时,C的容量选几百pF;振荡频率几十MHz时,选C为几十pF。在C的容量选定后,根据振荡频率f0确定L的值。

3.2 电路的调试方法

先调试放大电路的静态工作点:先将LC谐振回路用短路线短路,则整体电路仅为放大电路。放大电路的调试仅调节静态工作点即可。即通过调节RP的阻值,改变管子的静态工作点,使其达到设计值(一般小功率振荡电路,静态ICQ=1~2mA)。

静态调试完成后调试动态:即将原跨接在LC谐振回路的短路线去掉,通电后用示波器观察振荡波形。在LC回路元件参数选择合理时,只要电路的静态合适,接通电源后一般都能振荡。

振荡频率的微调:振荡频率的微调一般通过改变电感量实现。如果使用无骨架电感,通过增减线圈匝数或改变匝间距离改变电感量;如果使用有磁芯电感,则通过调节磁芯与线圈的距离改变电感量;如果使用无磁芯有骨架电感,只能通过增减线圈匝数改变电感。

电路不起振可能是下列原因之一:

(1)电路的静态工作点过低,或管子的β值太小

解决的方法分别是通过减小RP阻值来提高静态工作点。这个工作可在用示波器观察着振荡波形的情况下微调RP阻值。

如果是管子β值太小引起的不起振,则应更换β值大一些的管子。一般β值在几十以上就可,β值过高会使电路工作不稳定。

(2)电路中LC回路的Q值太低

解决的办法是增大L/C的数值,即在LC乘积为常数的情况下增大L/C的比值。或减小线圈的损耗电阻(改用线径粗的绝缘漆包线绕制电感),或减小负载对谐振回路的影响。前两个原因往往是LC回路设计时元件参数选择的不十分合理。

(3)正反馈量过小或过大

解决的办法是在保证总电容量基本不变的情况下,改变C3、C4的比值。C4对振荡频率的容抗越大,正反馈就越大。

4.小结

通过理论教学和实践教学过程中得到的经验,对高频调谐电路和高频振荡电路设计的设计方案,参数选择方法和电路调试方法进行了总结,根据理论计算数据调试电路是教学的难点,也是把理论应用于实践的关键,采用了上述教学方法能够有效地解决学生在调试过程中出现的各种问题,提高学生的设计水平和能力。

参考文献

[1]付家才.电子工程实践技术[M].化学工业出版社,2003.

[2]戴伏生.基础电子电路设计与实践[M].国防工业出版社,2002.

[3]吴慎山.电子线路设计与实践[M].电子工业出版社,2005.

[4]姚福安.电子电路设计与实践[M].山东科学技术出版社,2005.

电子电路的设计范文5

【关键词】声电转换电路;光电转换电路;声光双控;电子技术

Abstract:Sound and light-controlled lighting circuit composed by the power circuit,the acoustic-electric conversion circuit,amplifier circuit,a processing circuit,a photoelectric conversion circuit and a control circuit.This paper is designed for each circuit diagram and component parameters,It describes the performance、the scope and principle of sound and light control lighting circuits.It has obvious saving effect,can applicable to residential areas,factories,office buildings,school buildings and other public places.

Keyword:Acoustic-electric conversion circuit;photoelectric conversion circuit;sound and light dual control;electronic technology

1.绪论

随着时代的发展,城市现代化建设步伐不断加快,能源的供需矛盾也越来越突出,节电节能、绿色照明的要求越来越迫切。在学校、机关、厂矿企业等单位的公共场所以及居民区的公共楼道,长明灯现象十分普遍,这造成了能源的极大浪费。用声光双控路灯代替住宅小区楼道上的开关路灯,只有在天黑以后,当有人走过楼梯通道,发出脚步声或其他声音时,楼道灯会自动点亮,提供照明,当人们进入家门或走出公寓,楼道灯延时几分钟后会自动熄灭。在白天,即使有声音,楼道灯也不会亮,可以达到节能的目的。声光控制路灯不仅适用于住宅区的楼道,而且也适用于工厂,办公楼,教学楼等公共场所。

2.总体方案设计

图1 原理框图

声源产生的声音信号,经声电转换器后转换成微弱的电信号,该信号经放大后送处理器,处理器将幅度、频率不同的一群声波信号转换成一次状态改变的控制信号,与光电信号一起输入控制电路。当白天或傍晚光线较亮时,光控部分将开关自动关断,声控部分不起作用。当光线较暗时,光控部分将开关自动打开,电路的通断受控于声控部分。当声强达到一定程度时,电路自动接通,点亮照明灯,并开始延时,延时时间到,开关自动关断,照明灯熄灭。

3.电路设计与参数选择

3.1 电源电路的设计与分析

3.1.1 电源电路的设计

直流稳压电源一般由降压器、整流器、滤波器和稳压器四大部分组成。为了既可以达到设计要求的目的又要尽量使电路简洁经济,本设计使用稳压二极管作为稳压电路,输出为+9V的稳压直流电源电路如图2所示。

图2 电源电路

降压稳流部分由R1C1、全桥电路QD和滤波电容C2组成,经DW稳压后得到+9V的电压,为路灯控制电路提供了工作电压。

3.1.2 元器件的选择与参数的计算

(1)桥式整流电路

,所以QD的反向击穿电压选用1A300V以上的器件,以确保安全。

(2)稳压二极管

本设计采用+9V稳压直流电源,所以采用比较常用的2CW57,稳定电压为8.5~9.5V,稳定电流为10mA,最大稳定电流为26mA,反向漏电流≤0.5mA,动态电阻20Ω,最大耗散功率0.25W。

(3)降压电容

为保证降压电容可靠工作,其耐压选择应大于两倍的电源电压,选用耐压400V以上的金属化纸介电容器,大小为0.47?。泄放电阻的选择必须保证在要求的时间内泄放掉降压电容上的电荷,泄放电阻选560kΩ。

(4)其它元器件

滤波器主要由电容C2组成。按RC时间常数近似等于3~5倍电源半周期估算,可选择R2为200Ω,C2为220?。C3为滤波电容,选择47?大小。

3.2 信号放大整形电路的设计与分析

3.2.1 电路的设计

图3 信号放大整形电路图

拾音器采用电压蜂鸣器HTD35A-1,当有音响作用到压电陶瓷片上时,声震导致的绕曲变形就会产生相应的电效应。由于声\电效应较小,设计了VT1,VT2,直耦式音频放大器,将信号放大,并由D2,D3,C7 倍压整流再经T3倒相放大,触发单稳态电路。

3.2.2 元器件的选择与参数计算

(1)压电蜂鸣器HTD35A-1

声电传感器是一种能将声波的振动转换为电压和电流输出的声电转换元件。本设计采用灵敏度高、结构简单、价格便宜的压电陶瓷片作为声电传感器。

谐振频率:2.9KHz;谐振电阻≤150Ω;电容量<40000pF(性能参数);

金属片直径D:35mm;陶瓷片直径d:25mm;总厚度t:0.55mm(尺寸)。

(2)其它元器件

VT1管选用C485,β的值不少于150倍。VT2和VT3用9014或3DG8型硅NPN小功率晶体管,要求电流放大系数β≥100。

D2和D3的选择没有特殊要求,一般元器件即可。其它电阻与电容的阻值选择如下:R4=430k;R5=4.3k:R6=2.7k;R7=1.8k;R8=5.6k;C4=4.7?;C5=10?;C6=10?;C7=0.68?。

3.3 控制电路的设计与分析

3.3.1 电路的设计(如图4所示)

555和R9、C8、VT4、VT5等组成光控单稳态电路,即利用光敏三极管对不同光照呈现的阻抗不同,对时基电路555的4脚进行高低电平的控制,或处于等待触发状态,或处于强制复位状态。当白天或傍晚光线较亮时单稳态触发器输出低电平处于强制复位状态,此时不管2脚有多大的触发电平,555均不会翻转置位。可控硅不会触发倒通,电灯无电不亮。夜晚光线较暗时,555的4脚呈高位,使555触发器处于单稳态触发状态,此时如果有声响,经拾音,放大,倍压整流后,触发单稳态触发器,使其由稳态翻转到暂稳态,输出高电平,可控硅触发倒通,电灯亮,并延时一段时间。图示电路的单稳态时间为120s,即电灯点亮后2分钟熄灭。

图4 控制电路

(上接第27页)

3.3.2 元器件的选择与参数计算

电路中三极管VT4选择3DK2;由于本设计要求路灯点亮后延时2分钟后自动熄灭,则在单稳态触发电路中定时元件R9与C8需满足;则选R9=1.1M;C8=100?。

电路中其它电阻值的选择如下:R10=22K;R11=20K;R3=300;电容是滤波电容,故选择C9=0.01?的小电容,滤掉高频干扰。

3.4 光电传感器及开关电路的选择

3.4.1 光电传感器

本设计采用简单经济的光敏三极管3DU5实现光电转换。3DU5的性能参数如下:光谱响应范围500~1000;最高工作电压6~8V(ID小于额定允许值);暗电流ID(?A)2.0(在最高工作电压RL=1000Ω条件下);光电流IL(mA)1(在照度1000lx、U=10V、RL=0Ω下);响应时间<10-5s;最大使用功率2000Mw。

3.4.2 开关

本设计采用双向可控硅实现开关控制,选择额定通态电流为1A,断态重复峰值电压为400V的3CTS1双向可控硅。

3CTS1的参数如下:(Tamb=25℃)

a.断态重复峰值电压(VDRM):400V;

b.通态平均电流(IT(AV)):1A;

c.通态不重复浪涌电流(ITSM):10A;

d.通态峰值电压(VTM):1.7V(ITM=1.2A);

e.断态重复峰值电流(IDRM):100A(VDRM=

400V;RGK=1kΩ);

f.维持电流(IH):50mA(VD=12V;IGT=0.1A);

g.控制极触发电流(IGT):10~50mA;

h.控制极触发电压(VGT):1.5V。

4.总电路图(如图5所示)

电路可实现白天灯泡不亮晚上遇到声响时,通过声控电路使灯泡自动点亮,并延时一段时间后自动熄灭的功能。

参考文献

[1]汉泽西,张欣.数字逻辑[M].西安:西安交通大学出版社,2004:66-75.

[2]彭介华.电子技术课程设计指导[M].北京:高等教育出版社,2006:60-65.

[3]杨旭东,刘行景,杨兴瑶.实用电子电路精选[M].化学工业出版社,2007:90-95.

[4]华成英.电子技术[M].北京:中央广播电视大学出版社,2006:103-110.

[5]童诗白.模拟电子技术基础》清华大学电子学教研组编[M].北京:高等教育出版社(第三版),2004:36-45.

[6]康华光.电子技术基础》数字部分[M].北京:高等教育出版社出版(第四版),2006:23-30.

电子电路的设计范文6

关键词:EDA;数字电路课程设计;多功能数字钟

1.EDA技术[1]

EDA技术即电子设计自动化技术,英文全称Electronic Design Automation,它是以功能强大的计算机为工具,在EDA软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动完成逻辑编译、简化、分割、综合、布局布线及逻辑优化、仿真测试的电子产品自动化设计过程。

利用EDA技术进行电子系统的设计,具有以下几个特点:

(1)用软件的方式设计硬件,且用软件的方式设计的系统到硬件系统的转换是由相关的开发软件自动完成的;

(2)设计过程可用相关软件进行各种仿真;

(3)系统可现场编程,在线升级;

(4)整个系统可以集成在一个芯片上,具有体积小、功耗低及可靠性高的特点。

2.用EDA技术改进数字电路课程设计的必要性

数字电路课程设计是建立在数字电子技术基础上的一门综合实践性课程[2],有利于培养学生的系统综合能力和创新能力,对提高办学档次,满足社会对高素质人才的需求,培养学生对未来社会的适应能力都是受益匪浅的。通过这一课程的学习,学生能够熟练地利用EDA技术掌握较复杂数字系统的设计方法,进一步增强学生分析问题、解决问题的能力,充分挖崛和激发学生的创新潜能。

目前在数字电路实践教学中,大部分学校仍然采用中小规模的集成电路来实现设计功能,当设计的系统比较复杂,需要多个集成芯片和大量连线时,就增加了设计电路板的难度和故障调试难度,延长了设计周期,降低了学生的学习兴趣;同时,常用中小规模集成芯片的大量重复使用也大大增加了设计成本;因此,在数字电路课程设计中引入EDA技术,采用当前国际先进的设计方法和理念,改革传统的课程设计方法,已经成为一种趋势[3]。用中小规模集成电路设计的数字系统存在以上诸多缺点,而运用EDA技术、可编程逻辑器件设计数字系统就成为行之有效的方法。这种设计方法从系统总体要求出发,自上而下地将设计细化,将功能具体化、模块化;直到最低层的模块适合用硬件描述语言或原理图描述为止,最后形成数字系统的顶层文件;再经EDA软件的自动处理而完成设计。

QuartusII是Altera公司的第四代EDA开发软件,此软件提供了一种与结构无关的全集成化环境,将设计、综合、布局和布线、系统的验证都整合到一个无缝的环境中,使设计者能方便地对Altera公司的PLD系列产品进行设计输入、快速处理和器件编程。是应用广泛的EDA开发软件之一。CPLD/FPGA通称为可编程逻辑器件,其中FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程逻辑器件的基础上进一步发展的产物。目前,QuartusII开发软件和CPLD/FPGA器件作为EDA开发工具被越来越广泛的应用到大型数字系统的设计中。

3. EDA技术在数字电路课程设计中的应用

多功能数字电子钟的设计是数字电路设计中的一个典型应用,用中小规模集成电路实现时,用到的器件较多,连线比较复杂,可靠性差。下面就以基于ALTERA公司的FPGA器件CycloneII240C8芯片和QuartusII9.0EDA开发系统进行多功能数字钟的设计为例来介绍数字电路系统的一般设计方法。运用此种方法进行课程设计时,需要先掌握QuartusII软件开发环境的使用和硬件描述语言VHDL语言的编程,掌握相关CPLD/FPGA实验开发系统的使用。

(一)数字钟的设计要求

(1)具有时,分,秒计数显示功能,以24小时循环计时,由6个7段共阴极数码管显示;

(2)能够通过手动按键实现清零和调节小时、分钟功能;

(3)具有整点报时功能,当时钟计数为59’51”、59’53”、59’55”、59’57”时,扬声器发出频率为1024Hz的声音,在59’59”即到整点时,扬声器发出最后一声整点报时,频率为4096Hz。

(4)用VHDL语言来完成上述电路功能的软件设计和软件仿真,仿真结果正确后,在实验系统上进行由硬件电路的下载和调试。

(二)数字钟的设计方案

多功能数字钟电路的系统结构框图如图1所示,由系统时钟、控制电路、秒计数器、分计数器、小时计数器、译码器、显示器和扬声器组成;控制电路负责控制计数器计时、校时和扬声器报时,译码器将各计数器输出的BCD码计数值转换成七段码送到显示器,显示器显示时、分、秒计时结果。

介于所使用的实验系统中有现成的译码器和显示器部分硬件电路,故只对图1所示控制电路和时、分、秒计数器模块进行软件设计,由VHDL语言编写源代码来实现。

(三)数字钟的实现

在设计过程中采用层次化设计方法进行设计,编写源程序,为了简化设计把控制计时和调时部分功能放到计数模块中,报时部分专门用一个模块,故将数字钟的实现分成秒、分、时三个计数模块和一个报时模块构成,报时模块同时完成对报时输入信号的分频。

通过系统分析论证后,在QuartusII9.0环境下,用VHDL硬件编程语言编写数字钟的报时模块、秒计数模块、分计数模块和时计数模块源代码,即分别对应alert.vhd、second.vhd、minute.vhd、hour.vhd文本文件,对这四个模块分别进行编译、综合和仿真测试无误后,生成这四个模块的符号图,最后通过原理图连接的方式把以上各模块生成的图形符号连在一起形成顶层的原理图,实现多功能的数字钟。下面给出通过原理图的形式所设计的顶层原理图如图2所示,顶层设计文件为clock.bdf,顶层实体图如图3所示,当然也可以通过元件例化语句来生成顶层实体。

(四)功能仿真与下载

以上各个模块设计好以后,都可以利用软件进行仿真,得到正确的功能仿真结果后,在顶层的设计中调用各功能模块,完成顶层原理图或实体的设计,最后针对顶层的实体再进行功能仿真,仿真结果如图4所示,从仿真结果的部分截图中可以得到该数字钟能够实现正常计时的功能。

仿真正确后,选定好所选用的实验系统的配置芯片,锁定引脚,完成引脚配置,重新进行编译综合后,即可生成下载文件clock.sof,将此文件下载到选定的目标芯片,接上器件,完成整个系统的设计。经过在杭州康芯电子有限公司生产的GW48EDA/SOPC实验开发系统下载验证,该设计完全符合数字钟的功能要求。

4.结束语

通过将EDA技术应用于数字电路课程设计提升了学生对数字电路的认识,在设计过程中可以预先进行仿真,仿真有误可以修改设计,在这个过程中不必搭接电路,做到有错就随时修改,不用担心设计实验失败的风险。通过EDA技术不仅可以很好地锻炼学生的综合设计开发能力和动手能力,从而激发他们的学习兴趣,还可以大大节约数字电路课程设计实验的成本,提高设计效率,培养了他们解决问题的综合能力,因此,使用EDA技术必将是数字电路实践课程改革的新动向。

参考文献

[1] 潘松,黄继业. EDA技术实用教程.北京:科学出版社,2010.